2008년 3월 26일 수요일

Delta-sigma ADCs in a nutshell

By Bonnie Baker

HDMI 설계 가이드: HDTV 수신기 어플리케이션 내에서의 성공적인 고속 PCB 설계



게재 : 2008년 03월 26일

이 기사는 PCB 설계를 통해 기기 전체 성능을 최대화하려는 HDMI 먹스 리피터 사용자들을 위한 설계 가이드라인이다. 설계 관련 권고사항과 더불어, 고속 PCB 설계의 몇 가지 주요 개념들에 대해 알아보자.

더 자세한 기사는
PDF 버전으로 제공.

2008년 3월 13일 목요일

Si2 releases open source 45 nm cell library

www.scdsource.com
Wednesday, March, 12th 2008

News Analysis

Si2 releases open source 45 nm cell library

By Richard Goering

02/29/08

Aiming to facilitate R&D in academia and industry, as well as boost its own standards efforts, the Silicon Integration Initiative (Si2) has released an open-source 45 nm standard cell library. The Open Cell Library was created and donated by
Nangate, a provider of automated tools for cell library development.

The Nangate Open Cell Library is
available on line for anyone who wants to download it, subject to two restrictions: it cannot be used for benchmarking EDA tools, and it cannot be used in a benchmark against other cell libraries. The library is based on the FreePDK45 process design kit (PDK) project from North Carolina State University (NCSU).

"There are multiple ways this library can facilitate research and development in this industry," said Sumit DasGupta, senior vice president of engineering at
Si2. The original requests for an open-source standard cell library came from academia, he said, where researchers want a library for algorithm development that's "unencumbered" by proprietary foundry design rules. "There is a very critical need and there was nothing in the public domain that could address the need," DasGupta said.

The Open Cell Library can be useful for standards efforts as well. Si2's Open Modeling Coalition (
OMC) will use the library in a reference flow for library characterization, modeling, and model usage. Nangate will work with OMC to maintain and define future standards for library flow interfaces.

Jesper Knudsen, vice president of marketing at Nangate, commented that academic researchers can use the library to develop synthesis and timing algorithms. The library is "pretty complete today," he said, and with over 105 different cells, has enough content to support extensive research in synthesis, placement and routing. But it doesn't have everything. The current version supports only nonlinear delay modeling, and does not support the Effective Current Source Model (
ECSM), a capability that Nangate and Si2 hope to add.

In its first release, the Open Cell Library contains 38 different functions ranging from buffers to scan flip-flops with set and reset. All the different cell functions come in multiple drive strength variants, resulting in more than 100 different cells in the library.

Knudsen said EDA companies can use the cell library to run demonstrations of their software, saving the need to invent their own libraries. "A chip design company could use it to investigate how their current design will migrate down to 45 nm," he said. "We have fairly conservative rules for 45 nm, so it's probably not very far from what would come from a foundry."

But the library cannot be used for a commercial chip design that will be taped out, he said. "It won't match the design rules that come from the foundry. It will fail the design rule and DFM checks," Knudsen said.

DasGupta noted that companies can use the library to evaluate new tool capabilities without having to expose their own proprietary libraries. They can also check out new design flows before their own 45 nm libraries are available.

Nangate developed the library, Knudsen said, after joining the OMC and discovering that the coalition needed a library for its development work. Nangate used its Library Creator tool to generate the library. The Predictive Technology Model (
PTM) from Arizona State University was used to characterize the library.

"We don't want people to benchmark this library against any other, and we don't want commercial tool benchmarks, but other than that there are no restrictions," DasGupta said. "We want to propagate this as far and wide as possible."

Related articles

'OpenEngines' initiative drives EDA plug-and-play


All materials on this site Copyright © 2007-2008 Tech Source Media, Inc. All Rights Reserved
Privacy Statement




2008년 3월 12일 수요일

김동률 5집 - Monologue



김동률 5집 앨범 ‘Monologue’

여백속에서 김동률의 음악적 공감을 만나다

김동률의 5집 음반 ‘Monologue’는 음반 제목에서 느낄 수 있듯 예상외로 매우 소박하다. 김동률은 이번 음반에서 음악적인 욕심을 채우기보다는 ‘좋은 대중가요’를 만들고 싶었다고 한다.

김동률 음악에서의 전매특허인 현악을 곁들인 어쿠스틱한 편곡과 서정적인 멜로디는 변함이 없으나, 장중함과 비통함이 느껴지던 전작들에 비해서는 전체적인 느낌이 훨씬 편안하고 소탈하게 들린다.

앨범 작업하는 동안 주위사람들에게 음악의 느낌이 많이 달라졌다는 얘기를 자주 들었다고 한다. 이는 특별히 변화를 위한 의도적인 방향 선회였다기 보다는 1년 반 동안의 라디오 DJ 활동과 TV 프로그램의 진행을 통해 새로운 음악을 많이 접하게 되면서 자연스럽게 형성된 새로운 음악적 취향이 이번 앨범 색깔의 변화에 결정적 영향을 끼친 것으로 짐작된다.

김동률의 전매특허인 오케스트라도 앨범 전면에 나서지 않고 적재 적소에 적당한 만큼 사용되었으며 미니멀한 악기구성으로 여백의 미를 살린 편곡의 곡들이 쉽게 눈에 띄는 것도 그를 뒷받침한다.

2008년 빼어나도록 튼튼한 음악적 이음새로 1월의 문을 연 ‘김동률 컴백’이 이미 가요계의 화두로 떠오른 것은 그의 ‘음악적 역량’과 새 음반에 거는 ‘기대’를 가늠케 한다.

수록곡
  1. 출발
  2. 그건 말야
  3. 오래된 노래
  4. Jump
  5. 아이처럼
  6. The Concert
  7. Nobody
  8. 뒷모습
  9. 다시 시작해보자
  10. Melody

I²C - 위키백과

Serial Peripheral Interface Bus - Wikipedia, the free encyclopedia

http://en.wikipedia.org/wiki/Serial_Peripheral_Interface_Bus

I2C Bus Overview- Developer Zone - National Instruments

http://zone.ni.com/devzone/cda/tut/p/id/5767

2008년 3월 1일 토요일

USB battery-charger designs meet new industry standards

USB is not just for data transfer any more; there are too many good reasons to use it in such applications as charging handheld-device batteries. New standards address such uses, and new connectors and ICs can make short work of your designs.

By Takashi Kanamori and George Paparrizos, Summit Microelectronics -- EDN, 2/21/2008

- 출처: http://www.edn.com/article/CA6531593.html?industryid=47043&nid=2436&rid=228590015&