2008년 3월 13일 목요일

Si2 releases open source 45 nm cell library

www.scdsource.com
Wednesday, March, 12th 2008

News Analysis

Si2 releases open source 45 nm cell library

By Richard Goering

02/29/08

Aiming to facilitate R&D in academia and industry, as well as boost its own standards efforts, the Silicon Integration Initiative (Si2) has released an open-source 45 nm standard cell library. The Open Cell Library was created and donated by
Nangate, a provider of automated tools for cell library development.

The Nangate Open Cell Library is
available on line for anyone who wants to download it, subject to two restrictions: it cannot be used for benchmarking EDA tools, and it cannot be used in a benchmark against other cell libraries. The library is based on the FreePDK45 process design kit (PDK) project from North Carolina State University (NCSU).

"There are multiple ways this library can facilitate research and development in this industry," said Sumit DasGupta, senior vice president of engineering at
Si2. The original requests for an open-source standard cell library came from academia, he said, where researchers want a library for algorithm development that's "unencumbered" by proprietary foundry design rules. "There is a very critical need and there was nothing in the public domain that could address the need," DasGupta said.

The Open Cell Library can be useful for standards efforts as well. Si2's Open Modeling Coalition (
OMC) will use the library in a reference flow for library characterization, modeling, and model usage. Nangate will work with OMC to maintain and define future standards for library flow interfaces.

Jesper Knudsen, vice president of marketing at Nangate, commented that academic researchers can use the library to develop synthesis and timing algorithms. The library is "pretty complete today," he said, and with over 105 different cells, has enough content to support extensive research in synthesis, placement and routing. But it doesn't have everything. The current version supports only nonlinear delay modeling, and does not support the Effective Current Source Model (
ECSM), a capability that Nangate and Si2 hope to add.

In its first release, the Open Cell Library contains 38 different functions ranging from buffers to scan flip-flops with set and reset. All the different cell functions come in multiple drive strength variants, resulting in more than 100 different cells in the library.

Knudsen said EDA companies can use the cell library to run demonstrations of their software, saving the need to invent their own libraries. "A chip design company could use it to investigate how their current design will migrate down to 45 nm," he said. "We have fairly conservative rules for 45 nm, so it's probably not very far from what would come from a foundry."

But the library cannot be used for a commercial chip design that will be taped out, he said. "It won't match the design rules that come from the foundry. It will fail the design rule and DFM checks," Knudsen said.

DasGupta noted that companies can use the library to evaluate new tool capabilities without having to expose their own proprietary libraries. They can also check out new design flows before their own 45 nm libraries are available.

Nangate developed the library, Knudsen said, after joining the OMC and discovering that the coalition needed a library for its development work. Nangate used its Library Creator tool to generate the library. The Predictive Technology Model (
PTM) from Arizona State University was used to characterize the library.

"We don't want people to benchmark this library against any other, and we don't want commercial tool benchmarks, but other than that there are no restrictions," DasGupta said. "We want to propagate this as far and wide as possible."

Related articles

'OpenEngines' initiative drives EDA plug-and-play


All materials on this site Copyright © 2007-2008 Tech Source Media, Inc. All Rights Reserved
Privacy Statement




댓글 없음: