2009년 6월 29일 월요일

내가 살고있는 대한민국 (大韓民國)

어느정도는 작위적인 느낌이 들기도 하지만...^^;

====================

또리 2009-06-08 23:19:02

  1. 한글사용 인구수는 세계 12위
    한국어를 모국어로 삼아 쓰는 이의 수는 표준중국어, 에스파냐어, 벵갈어, 영어, 힌디어, 포르투갈어, 러시아어, 일본어, 중국어, 자바어 다음으로 프랑스말 앞인 12위에 해당한다.
  2. 한글은 세계에서 가장 많은 발음을 표기할 수 있는 문자
    중국어는 표의문자이므로 모든 글자를 다 외워야 하지만 한글은 영어와 마찬가지로 표음문자이므로 배우기가 쉽다. 그래서 한글은 아침글자라고도 불린다. 모든 사람이 단 하루면 배울 수 있다는 뜻이다. 10개의 모음과 14개의 자음을 조합할 수 있기 때문에 배우기 쉽고 24개의 문자로 소리의 표현을 11,000 (일만 천)개 이상을 낼 수 있다. 일본어는 약 300개 중국어(한자)는 400 여개에 불과하나 한글은 소리나는 것은 거의 다 쓸 수 있다. 한글은 세계에서 가장 많은 발음을 표기할 수 있는 문자인 것이다.
  3. 한글은 세계에서 가장 발달한 음소문자 입니다
    음소문자란 쉽게 말해서 글자 하나 하나가 하나의 소리를 낸다는 것을 말한다. 조금 더 쉽게 예를 들자면, 한글은 글자 그대로 읽고 필기체 소문자 대문자도 없다. 반면 영어인 경우 대소문자 구별도 있고 글자 그대로 읽지도 않는다. head 란 글자에서 ea는 에라고 발음되었고 speak에서 ea 는 이로 발음된다. 또한 knee 는 니라고 발음하는데 여기서 k는 묵음이다. 이처럼 영어는 알지 못하면 읽지도 못하는 글자이지만 우리글은 기본 구성만 안다면 무슨 글자도 다 읽을 수 있다.
  4. 세계언어학자-한국어를 세계 공통어로 쓰면 좋겠다
    몇 년 전 프랑스에서 세계언어학자들이 한 자리에 모이는 학술회의가 있었다. 안타깝게도 한국의 학자들은 참가하지 않았는데, 그 회의에서 한국어를 세계공통어로 쓰면 좋겠다는 토론이 있었다고 한다(KBS1, 96.10.9).
  5. 한글은 모든 언어가 꿈꾸는 최고의 알파벳
    영국에 '존 맨'이라는 역사 다큐멘터리 작가가 있다. 그는 3년전 ‘알파 베타(ALPHA BETA)’라는 책을 썼다. 알파 베타는 물론 그리스어 ‘Α’와 ‘Β’를 말한다. 이 책은 최근 ‘세상을 바꾼 문자, 알파벳’이란 제목으로 남경태씨에 의해 우리에게도 번역 소개됐다. 서양문자의 기원 나아가 세계 주요 언어의 자모(字母)의 연원을 추적한 이 저서는 한글을 ‘모든 언어가 꿈꾸는 최고의 알파벳’이라고 소개한다.
  6. 한글은 천지인을 결합시켜 만든 과학 철학적인 글자
    한글은 글자의 됨됨이에서 세계 언어의 맨 윗자리를 차지하고 있다. 기본 자음 14자와 모음 10자, 나아가 겹자음과 모음을 합쳐 모두 40자로 구성된 한글은 먼저 말(한국어)이 있고서 이를 바탕으로 인위적으로 만든 글자라는 점에서 세계 언어에서 견줄 문자가 없고, 소리내는 사람의 기관과 하늘?땅?사람을 결합시켜 만든 과학?철학적인 글자라는 점에서 각 나라 언어학자들이 세계 언어를 얘기할 때 칭송하고 반드시 짚고 넘어가는 본보기로 통한다.
  7. 유네스코-한글을 소수민족의 언어로 사용하게 하자
    아울러 그로써 나타내지 못할 소리가 없어 국어정보학회나 한글문화 세계화 운동본부 등에서는 국제 음성기호를 한글로 채택하자는 움직임을 보이고 있다. 유네스코에서는 지난해 ‘바벨계획’을 제안하여 ‘언어 다양성과 정보 이용의 공평성’을 높이는 운동을 벌이고 있다. 말은 있되 이를 적을 글자가 없는 소수민족 언어 사용자들에게 그들의 말을 한글로 쓰도록 함으로써 소수언어의 사멸을 막는 것도 언어 다양성을 높이는 데 큰 몫을 할 것이라는 제언도 나오고 있다.
  8. 유네스코- 세종대왕상 만들고 훈민정음을 세계기록 유산으로 지정
    유네스코에서는 1989년에 ‘세종대왕상’(킹 세종 프라이스)을 만들어 해마다 인류의 문맹률을 낮추는 데 공적을 끼친 단체나 개인을 뽑아 상을 주고 있기도 하다. 이는 세계 언어에서 한국어가 차지하는 위치가 어디인지를 드러내주는 몇몇 사례들이다. 마침내 지난 1997년 10월1일, 유네스코에서 우리나라 훈민정음을 세계 기록 유산으로 지정하기에 이르렀다.
  9. 한글이 세계 모든 문자중 No.1
    언어 연구학으로는 세계 최고인 영국 옥스퍼드 대학의 언어학 대학에서 세계 모든 문자를 순위를 매겨(합리성,과학성,독창성... 등의 기준으로) 진열해놓았는데 그 1위는 자랑스럽게도 한글이다.
  10. 한국 문맹률
    유엔개발계획(UNDP, United Nations Development Programme)에서는 매년 인간개발지수(HDI, Human Development Indicators)를 발표하고 있습니다. 2003년 자료에 따르면 한국의 비문맹률(Adult literacy rate)은 97.9% 입니다. 이것은 2.1% 의 문맹률을 뜻합니다.
    →사실 글을 못읽으시는 분들은 대부분 할머니, 할아버지들이죠;

IQ지수
홍콩은?중국의 도시이기 때문에 한국이 1위라고 보셔도 될듯해요 !
북한과 한핏줄은 한핏줄인가 보네요 ^^
`한국`의 우수성 관련 뉴스 (시간이 새벽이라 몇개만 찾음)
한글보급위해 세계에 '세종학당'설립
IT 최적언어는 "한글"
한국 김치, 세계 5대 건강음식 선정??
쇼트트랙 세계선수권 금 7개 석권??
동계 유니버시아드, 한국 쇼트트랙 금메달 10개 독식??
한국 남녀 궁사들, 8년 만에 전종목 석권?

※ 너무 기뻐서 쓰러질지 모르니 주의하시오

1. 대한민국
문맹률 최저(99.9%)  / IT산업<일본을 압지른 세계1위> / 세계 거대도시 <서울 전세계 4위>←의심가면 검색 / 자동차 생산량<세계 5위> / 반도체<1위> / 핸드폰<1위> / MP3<1위> / 조선소<1위> / 흡연인구 2위 / 외환보유고 세계 3위 / 2002 월드컵 세계 4위 / IQ 전세계 1위 (홍콩은 도시이기 때문에 제외) / 세계 무역 규모 6위 / 피파 랭킹 19위 / 부정부패 세계 36위 / 행복지수 세계 26위 / 서울대학교 세계 200위 / GNP 세계 30위 / 우주 개발 세계 4위 / 정보화지수<세계에서 정보화 7번째로 잘 된 나라> 세계 7위 / 인터넷 보급률 1위 / 인터넷 이용자 비율 세계 4위 / 욕이나 속어 분화 지수 1위 / 석유 소비 세계 6위 / 에너지 소비량 세계 10위 / 수학 올림 피아드 1위 / 세계 기술 경쟁력 8위 / 나라 영향력 세계 19위 / 세계 경제 자유 31위 / 국가 종합 경쟁력 세계 35위 / 인간 개발 지수 28위 / 여성 정치 경제 참여도 세계 68위 / 총 연구 개발비 7위 / GDP 대비 연구 개발비 7위 / 총 개발 인력 7위 / 법적 지윈 38위 / 세계 500대 기업 순위 아시아권 2위 / 삼성 전자 54위 / 전자 부분 8위 / 현대자동차 98위 / SK 119위 / LG전자 147위 / 삼성생명 263 위 / 한국전력 265위 / 한화 323위 / 국민은행 357위 / 포스코 361위 / KT 406위 / 삼성물산 493위 / 순이익 37위

▶대한민국의 장점

  1. 국민 90%가 자기나라 국기를 갖고 있는 나라는 우리나라 밖에 없다.
  2. 평균 아이큐가 세자리를 넘는 3국가중 하나
  3. 일하는 시간이 세계 2위, 평균 노는 시간은 세계 3위인 잠없는 나라
  4. 문맹률이 1% 아래인 유일한 나라
  5. 교통사고율이 1위 지만 차수를 비례했을때 교통사고율이 24위인 나라
  6. 미국이랑 제대로 전쟁 났을때 3일 이상 버틸수 있는 8개 국가중 하나인 나라
  7. 노약자 보호석이 있는 5개 나라중 하나인 나라
  8. 기네스북에 기타를 가장 빨리 치는 사람 5명중 우리나라 사람이라 는거
  9. 현재 주거율이 빠른속도로 높아지고 있는 나라
  10. 남녀 평등부가 있는 유일한 나라 (여 <- 같을여 )
  11. 양치질을 3번 하라고 가르치는 유일한 나라
    (다른 나라에서는 아침과 점심 사이에 한번, 저녁에 잠자기전에 한번)
  12. 음악수준이 가장 빠르게 발전 한 나라
  13. 세계 각국 애니메이션 업계의 실무를 거의 다 담당하고 있는 민족
  14. 중국 옆에 있던 나라중 한번도 지도에서 중국이라고 표기된 적이 없었던 나라
    (진짜 대단하다)
  15. 문자가 없는 나라들에게 un이 제공하는 문자는 한글
    (저~ 아프리카의 제 3세계 국가들 보면 대부분 한글을 쓰고있습니다)
  16. 30대 이하의 12개 이상의 외국어를 할수있는 사람이 19명인 대단한 나라
    (참고로 미국은 23명, 중국은 46명 인구차를 고려했을때 대단한 것임)
  17. 아나바다 운동을 시작한 첫번째 나라
  18. 가장 IMF 를 단기간에 극복한 나라
  19. 아이큐 전세계 1위인 나라 홍콩은 나라가 아님을 생각한다면
  20. 유럽 통계에서 세계에서 여자가 가장 이쁜나라 1위 한국!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
  21. 세계 몇 않돼는 단일민족 국가
    (엄연히 따지면 아니라는 분 많지만 이제 민족의 개념이 바껴서)
  22. 세계 10대 거대 도시 중에 한 도시를 보유하고 있는 나라
    (서울이 세계 4번째 거대 도시)
  23. 도시의 고층빌딩 멋진 야경을 볼 수 있는 10개의 나라 중 하나
  24. 미국도 무시하지 못하는 일본을 무시하는 전세계에서 가장 배짱있는 나라
  25. 로마에서는 로마법을 따르라. 외국으로 갈때에 외국어를 공부해가는 몇 안되는 나라
  26. 세계각 우수대학의 1등자리를 휩쓸고 다니는 머리하나 끝내주는 나라
  27. 세계 10번째 경체, 6번째 군사력을 보유하고도, 개발도상국, 중진국이라며 선진국을 본받자는 언제나 발전적인 나라

====================

출처: http://kr.fun.yahoo.com/NBBS/nbbs_view.html?bi=1201&bt=2&mi=795700

2009년 6월 22일 월요일

이통사, MP3폰 DRM '전면 해제'

LG '아레나'부터 적용…저작권단체와 협상이 '과제'

2009년 06월 22일 오전 11:27

명진규기자 almach@inews24.com

이동통신사가 휴대폰에 내장된 동영상과 음악의 디지털저작권보호장치(DRM)을 모두 해제했다. 하지만 아직 저작권단체와의 협상을 미제로 남겨두고 있어 업계의 이목이 집중되고 있다.

22일 업계에 따르면 KT와 LG텔레콤이 휴대폰의 영상 및 MP3 DRM을 모두 해제한 것으로 나타났다.

실제 LG전자의 '아레나폰'에는 MP3 DRM이 해제된 채 출시됐다.

SK텔레콤 역시 저작권단체와 협상을 통해 이른 시일 내에 DRM을 해제하겠다는 내부 결정을 내린 것으로 알려졌다.

이통사는 MP3폰에 자사 서비스만 이용할 수 있도록 DRM을 장착해왔다. 하지만 스마트폰이 늘어나며 상황이 달라졌다. 일반 휴대폰과 달리 스마트폰은 별도의 애플리케이션을 이용하면 DRM이 없는 파일도 재생이 가능하기 때문이다.

결국 KT와 LG텔레콤을 시작으로 DRM 해제에 나선 것. SK텔레콤은 당초 DRM 해제에 부정적인 입장이었지만 경쟁사가 모두 DRM 해제에 나서자 지난 5월경 휴대폰 업체들에게 DRM 해제 결정을 통보했다.

통신업계 고위 관계자는 "DRM 해제에 이통 3사가 모두 동의했지만 저작권단체와의 협상이 끝나지 않은 상황"이라며 "SK텔레콤은 반대 입장이었지만 경쟁논리에 밀려 어쩔수 없이 DRM 해제를 선택한 것"이라고 설명했다.

◆삼성은 '동영상', LG는 'MP3' DRM 해제

삼성전자는 다음 주 출시할 예정인 '2세대 햅틱'에 디빅스(DivX) 플레이어를 집어 넣었다. 포터블미디어플레이어(PMP)처럼 외장 메모리에 파일을 복사해 휴대폰으로 볼 수 있는 기능이다.

삼성전자는 당초 '2세대 햅틱'의 MP3 DRM 해제도 검토했지만 저작권단체와의 협상 이후로 미뤘다. 이 휴대폰은 이동통신 3사에 모두 출시된다.

LG전자가 지난 20일 출시한 '아레나폰'에는 MP3 DRM이 해제됐다. KT와 LG텔레콤으로 출시된 '아레나폰'은 사용자가 갖고 있거나 CD를 이용해 만든 MP3 파일을 넣고 들을 수 있다.

SK텔레콤 역시 MP3 DRM 해제에 찬성했지만 저작권단체와의 협상이 남아있어 '아레나폰'에 DRM을 집어 넣었다. '아레나폰'은 음악 재생시 돌비 음향 효과를 제공하는 등 MP3 음질 향상에 주력한 제품이다.

하지만 향후 저작권단체와 협상이 마무리 되는대로 SK텔레콤 역시 MP3 파일의 DRM 해제에 나설 계획이다.

휴대폰 업계 고위 관계자는 "지금까지 일부 휴대폰에 DRM이 해제된 적은 있었지만 사업자들이 해제를 결정한 것은 아니었다"며 "DRM 해제가 망 개방 등 큰 영향을 줄 것으로 기대하고 있다"고 말했다.

◆'고양이 목에 방울 누가?'…저작권단체와의 협상 '숙제'

이제 남은 것은 저작권단체와의 협상이다. 별도의 협상없이 이동통신 3사가 먼저 내린 결론이기 때문에 사실상 협상 진행 여부에 따라 다시 MP3 DRM이 내장될 수도 있는 상황이다.

이동통신 3사는 서로 눈치를 보고 있는 중이다. 이미 수년전 저작권단체와의 협상에서 어려움을 겪은 경험이 있는데다 어차피 타 이동통신사가 협상을 마무리 하면 비슷한 조건에서 계약을 체결하면 된다는 것.

이통사 관계자는 "솔직히 내가 먼저 나서기는 싫은 상황이지만 세계적인 DRM 해제 추세 등 저작권단체와의 협상은 큰 무리가 없을 것으로 생각한다"고 말했다.

해외에서는 소니BMG를 마지막으로 전 세계 4대 음반업체가 DRM을 모두 해제한데 이어 올해 아마존과 애플이 DRM 정책을 해제했다.
모두 DRM을 고집한 이후 수익성이 크게 떨어졌기 때문이다. DRM으로 인한 소비자 선택권 제한도 문제가 돼 왔다. 국내 역시 디지털음악 시장이 계속 제자리에 머무르고 있고 이통사의 음악 사업 수익성도 크게 떨어지다 보니 DRM 해제에 동참하게 된 것.

업계 고위 관계자는 "세계적인 추세에 국내 시장도 결국 합류하게 된 것"이라며 "이제 휴대폰이 PMP, MP3 기능을 본격적으로 흡수하며 본격적인 컨버전스 열풍을 다시 불러 일으킬 것"이라고 말했다.

IT는 아이뉴스24, 연예스포츠는 조이뉴스24
본 기사는 저작권법의 보호를 받으며 기사의 원형을 변형하거나 훼손하는 것을 금지합니다.

Copyright(c) . inews24.com All rights Reserved. Please read inews24's privacy policy.Contact us more information.

====================

출처: http://itnews.inews24.com/php/news_view.php?g_menu=020300&g_serial=423609

2009년 6월 18일 목요일

앵무새 같은 요즘 가수와 너무 달랐던 그녀

강태규 / 대중문화평론가. 문화전문계간지'쿨투라'편집위원 www.writerkang.com

1996년 2월경이었다. 지금은 드라마 제작자로 명성을 날리고 있는 작곡가 송병준으로부터 전화가 걸려왔다. 당장 사무실로 들어오라는 것이었다. 왜 그러냐고 했더니, 2시간안에 작사를 해야한다는 것이었다.

이 무슨 날벼락. 왜 2시간 안에 작사를 해야 하느냐고 물었다. 2시간 뒤에 노래 녹음이 있다는 것이었다. 속으로, 그럼 너덧 시간의 여유가 있을거라 생각했다. 가수가 목 풀고 음악 듣고 멜로디를 익히는 시간까지 계산한 것이었다.

사무실에 들어서자 책상 위에 정말 방금 만든 악보가 올라와 있었다. 음악을 들려주며 곡 설명을 하는 송병준은 2시간 안에 반드시 끝내야 된다는 것을 재차 강조했다. 바로 녹음 들어간다는 말에 속으로 실실 웃었다. 그럴 리는 없을거라고.

어쨌든 '형님'의 강력한 요구에 머리를 모두 짜내 악보에 가사를 붙였다. 2시간 뒤 녹음실로 들어선 한 여자. 얼굴이 낯설다. 송병준은 격식을 갖추고 그녀를 반갑게 맞았다. 녹음실에 있다보면 유명 가수를 자주 만나게 마련. 도대체 누구길래 그러나 했다.

그녀는 녹음실에 들어서자마자 악보를 요구했다. 악보를 보더니 허밍을 시작했다. 그리고 반주 음악을 한번 들어보자는 것이었다. 어, 이것 봐라. 그럼 음악을 아예 듣지도 않고 녹음실에 와서 처음 듣는단 말야?

반주음악을 다 듣고 난 그녀는 가사를 달라고 했다.  악보와 가사를 번갈아 보면서 반주음악에 맞춰 노래를 부르는 것이었다. 그리고 잠시 뒤, 그녀는 녹음실 부스로 총총 사라졌다. 이곳 녹음실로 온 지 20분만의 일이었다.

녹음실 부스로 들어간 그녀는 반주음악에 몇 차례 입을 맞추더니, '녹음합시다' 그런다. 이쯤되자, 녹음실에 있던 스태프들도 놀라는 기색이 역력했다. "아뿔사. 형님의 말이 맞구나. 진짜 가수네."  

그녀가 바로 '잃어버린 우산'으로 우리에게 잘 알려진 가수 우순실이었다. 작곡자가 1차 가창을 한 데몬스트레이션 음반을 수차례 듣고 앵무새처럼 곡을 외워서 녹음하는 가수와는 차원이 다른 광경이 연출된 것이다. 녹음이 시작되고 우순실의 가창은 정교하게 뻗어나왔다. 작곡자 송병준은 첫곡에 OK 사인을 냈다. 듣고 있던 스태프들도 놀라운 건 마찬가지. 녹음 모니터를 들어본 우순실은  한번 더 가자는 것이었다. 두번째 녹음도 여전히 흔들림 없었다.

그리고 3월, 첫번째 녹음된 그 곡이 음반에 실렸다. 고소영, 이소라, 이민우가 출연해 화제를 모았던 MBC 미니시리즈 '별' OST 음반 주제곡 '꿈꾸는 별'에는 우순실의 우수에 찬 목소리가 그렇게 녹아있다.

하기야 악보 볼 줄 몰라도 당당히 가수라 할 수 있다. 노래로 소름돋게 할 정도면 뭐라 하겠나. 그것도 아니면 정말 부끄러운 일일 것이다. 요즘의 가요계를 보면, 악보를 보며 곡을 해석할 수 있는 가수를 찾기가 갈수록 힘들어지고 있다.  우순실과의 녹음 과정을 추억하면서 그런 현실이 더욱 안타깝게 느껴진다.

Updated : 2009.06.15 08:59

====================

출처: http://choen.chosun.com/site/data/html_dir/2009/06/12/2009061201082.html

시스템 전력 소비를 최소화하는 코어텍스-M0 기반 MCU의 활용

NE-Korea Edition

최근 전자업계의 가장 큰 화두는 '저전력'이라고 해도 결코 과언이 아니다. 특히 배터리로 구동하는 기기에서는 전력소모를 최소화하기 위한 설계가 무엇보다 중요하다. 최근 NXP 반도체가 발표한 ARM의 저전력 코어텍스-M0 코어 기반 'LPC1100' 마이크로컨트롤러는 이러한 애플리케이션의 저전력 요건을 충족한다. 이 글에서는 LPC1100 마이크로컨트롤러의 저전력 특성에 대해 소개한다.

마이크로컨트롤러의 전력 소비를 이해하기 위해서는 CMOS 디바이스의 기본적인 전력 소비 요소들을 이해하는 것이 중요하다. 전력 소비는 크게 동적 소비와 정적 소비로 분류된다.

동적 전력 소비

CMOS 디바이스의 동적 전력 소비는 일차적으로 다음과 같이 정의할 수 있다:



f = 동작 주파수
C = 부하 용량
V = 공급 전압 VDD

그림 1은 단순한 CMOS 인버터를 나타낸 것이다. 이 인버터가 스위치 되면 부하 용량(Load Capacitance)을 충전하거나 방전하고 이로 인해 전력이 소비된다. 부하 용량은 인터커넥트 용량과 게이트가 구동하는 모든 디바이스의 게이트 용량의 합이다. 디바이스가 스위치 되지 않으면 소비되는 모든 전력은 디바이스의 누설전류가 된다.

따라서 주어진 공정 노드에 대해 전력 소비는 전압의 제곱에 비례하고 주파수와 선형 관계를 갖는다. 전력 소비가 주파수와 선형적인 관계를 갖는다는 특성을 이용하면 마이크로컨트롤러에서 통상 사용되는 MHz당 전류 소비량를 구할 수 있다.

저전력 디바이스의 경우, 이 값은 μA/ MHz로 표시하는데 일반적으로 200μA/ MHz에서 300μA/MHz 이상까지 되기도 한다. 측정 방법에 대한 표준이 없기 때문에 이 값은 어느 정도 오차를 포함한다. 핵심은 전류 소비량에 비해 얼마나 많은 작업을 수행하느냐이며, 보다 포괄적인 측정의 경우에는 일정한 산출 값에서 얼마나 많은 에너지가 소비되느냐이다. 이러한 유형의 측정은 아직 광범위하게 사용되고 있지 않기 때문에 여기서는 μA/MHz 계산법을 사용한다.

디지털 CMOS 디바이스가 MHz 당 사용하는 전류량은 해당 디바이스가 소비하는 전류량의 전부가 아니다. 디지털 도메인을 지원하는데 필요한 아날로그 회로가 존재하기 때문이다. 여기에는 타이밍 구성 요소와 전력제어 구성 요소 그리고 메모리와 주변장치 등이 포함된다. 타이밍과 전력제어 그리고 메모리 구성요소는 마이크로컨트롤러 플랫폼에 포함되며 선택사양이 아닌 반면, 아날로그 주변장치는 기능 세트의 일부로서 마이크로컨트롤러 제품군에 따라 차이가 있다.

표 1은 NXP 반도체가 개발한 ARM 코어텍스-M0 기반 저전력 마이크로컨트롤러인 LPC1100에서 사용되는 타이밍 구성요소를 나타낸다. 표의 항목들은 전력 소비가 적은 것부터 많은 것 순으로 정렬되어 있다. 모든 아날로그 설계에서 볼 수 있듯이, 정확성과 소비 전류량 간에는 상쇄 관계가 존재한다. LPC1100은 전류 소비량 대비 정확성의 상쇄를 조정할 수 있게 해주는 유연한 구조를 갖추고 있어, 설계자는 목표로 하는 애플리케이션에 맞춰 구성요소들을 조정할 수 있다.

표 2는 LPC1100의 전력 제어 구성요소를 설명한 것이다. 타이밍 구성요소에서와 같이, 전력 제어 구성요소 또한 애플리케이션 요구에 따라 조정이 가능하다.

코어의 전류 소비는 슬로프(Slope)가 아니라 코어를 지원하기 위한 아날로그 장치에서 비롯되는 오프셋(Offset) 전류이다. 경우에 따라 이를 '제로-헤르츠 전류'라 부르기도 한다.

LPC1100은 유연한 클러킹 아키텍처를 가지고 있기 때문에 이 전류는 고정되어 있지 않다. 주파수가 낮아질수록 필요한 동작 주파수를 생성하는데 필요하지 않은 클러킹 구성요소를 끄면 오프셋 전류를 줄일 수 있다. 일례로, LPC1100은 0~10MHz 범위의 느슨한 저전력 오실레이터로 운용할 수 있기 때문에 보다 정확한 내부 RC 오실레이터를 작동시켜 1~12MHz의 주파수를 제공할 수 있다.

누설 전류

누설 전류는 디지털 로직이 스위칭 하지 않을 때 CMOS 접합이 소비하는 전류를 말한다. 이는 공정 노드와 그 노드 상의 라이브러리가 얼마나 최적화되었는지에 따라 결정된다. LPC1100의 경우, 라이브러리들은 누설 전류를 적게 만들 수 있도록 최적화되어 있다. 또한 전력 소모를 줄일 수 있는 다양한 파워다운 옵션들이 제공되기 때문에 이를 통해 사용자들은 누설을 보다 최적화할 수 있다. 이러한 모드들에서는 CMOS 접합 누설 이외에 다양한 아날로그 기능들을 제어할 수 있다(표 3).

슬립 모드

슬립 모드에서는 코어의 클럭은 꺼지지만 주변장치는 계속 사용할 수 있다. 이 모드에서 전력은 누설 전류가 아니고 작동 중인 주변장치의 동적 전류이다. 이 모드에서는 데이터 수신이 가능하지만 코어는 수신 데이터를 정적인 상태로 유지하고 있다가 필요할 때 작동을 개시할 수 있다.

파워다운 모드

디지털 로직의 모든 클럭들을 끄고 아날로그 서브시스템들을 잘 제어하면 애플리케이션에 맞춰 기동 시간(Wakeup Time)을 유연하게 조정할 수 있다. 최저 파워다운 모드에서는 모든 아날로그 클러킹 요소가 꺼진다. 기동 시간은 어떤 기동 클럭 소스를 선택하느냐에 의해 결정된다. 기동 시간이 가장 빠를 때는 저전력 오실레이터를 선택할 때이며 가장 느릴 때는 크리스털 오실레이터와 PLL을 선택할 때이다.

딥 파워다운 모드

이 모드에서는 소규모 올웨이즈온 도메인 레지스터를 제외한 마이크로컨트롤러의 모든 내부장치 전원이 꺼진다. 올웨이즈온 도메인 레지스터는 마이크로컨트롤러가 딥 파워다운 모드로 들어가기 전에 발생한 일들에 대한 정보를 저장할 수 있는 레지스터들이다. 이 모드에서 기동은 기동 핀(Wake Up Pin)이나 재설정을 통해 이루어진다.

코드 효율성

LPC1100은 ARM의 새로운 코어텍스-M0 코어를 사용하는데, 이 코어는 동적 전류뿐만 아니라 누설 전류에도 엄청난 영향을 끼친다. 단순 명령 집합에 초점을 맞추면 동적 전류가 줄어든다. 코어텍스-M0는 대부분 썸(Thumb) 명령을 사용한다. 이 명령은 16bit 명령이며 코어에 의해 32bit 명령으로 해석된다. 코어는 또한 게이트 개수를 줄이고 클러킹을 최소화하기 위해 간소화된 버스 인터페이스를 사용한다.

또한, 코어는 클럭 게이팅과 간소화된 라이브러리 요소를 활용할 수 있도록 설계되어 있다. 이 모든 요소들을 고려해 볼 때, 코어는 70μA/MHz 이하의 레벨을 갖는다. 앞서 언급한 바와 같이, 이 숫자는 이 전류로 얼마나 많은 작업을 수행할 수 있는지에 관한 정보를 포함하고 있지 않으므로 다소 무의미하다.

그러나 코어텍스-M0 코어는 0.9DMIPS/MHz의 레벨을 갖는데 이는 ARM7보다 높은 레벨이다. 이 코어를 사용하면 게이트 개수가 8bit 및 16bit 코어와 동일하게 되므로 누설 전류가 보다 증가할 수 있다. 누설 전류는 게이트 개수와 비례하므로, 코어 로직에서의 절감량에 따라 크게 영향을 받는다.

저전력 시스템 설계 시 고려 사항

마이크로컨트롤러 전력 모드 사용 방법은 애플리케이션에 따라 결정된다. 전원이 항상 공급되고 있지만 용량이 제한된 경우, 마이크로컨트롤러의 클럭은 항상 켜둘 수 있다. LPC1100은 처리 요구에 따라 사용중인 주파수를 바꿀 수 있다. 30MHz에서의 LPC1100 전류 소비는 6mA로 정해져 있다. 저전력 내부 오실레이터로 1MHz로 실행할 경우, 이 값은 200μA를 약간 웃도는 정도의 값으로 낮출 수 있다.

그러나 전력 소비량을 최소화할 필요가 있는 많은 애플리케이션들은 피워다운 모드와 딥 파워다운 모드에 의존해야 한다. 이러한 애플리케이션들은 대부분의 시간을 데이터 처리를 기다리며 대기 상태로 있게 된다. 프로세서는 재빨리 기동하여 필요한 데이터를 처리하고 다시 대기 상태로 되돌아 가야 한다.

이들은 대부분 배터리 전원을 이용하는데, 무엇보다 배터리 사용 시간을 늘리기 위해 평균적인 전류 소모를 줄이는 게 중요하다. 평균 전류를 낮추기 위해서는 가능한 빨리 데이터를 처리하여 듀티 사이클을 줄일 수 있어야 한다. 코어텍스-M0는 32bit 프로세서이기 때문에 소용량 프로세서보다 훨씬 빨리 연산을 처리할 수 있다.

그림 2는 처리 성능이 평균 전류에 어떻게 영향을 미치는지를 보여준다. 이 그림에서는 피크 전류와 파워다운 전류가 다양한 유형의 프로세서에서 동일한 것으로 가정하고 있다. 코어텍스-M0 코어는 더 낮은 비트 폭의 프로세스 평균 전력의 1/2~1/4의 용량을 갖는다. 코어텍스-M0에 의해 LPC1100은 200μA/ MHz의 피크 전류를 얻을 수 있다.

낮은 평균 전류는 배터리 수명을 연장하는 데 있어 매우 중요한 요인이다. 이는 대기 전류가 낮고 듀티 사이클이 작다는 것을 의미한다. LPC1100은 300nA 미만의 딥 파워다운 전류와 200μA/MHz의 피크 전류를 갖는다.

그림 3은 듀티 사이클이 배터리 수명에 미치는 영향을 보여준다. 이러한 연산에 사용되는 배터리는 230mAh 버튼형 리튬 전지다. 이 그림은 대기 전류가 배터리 수명에 미치는 영향과 배터리 수명이 3년을 넘기는 데 필요한 듀티 사이클의 종류를 보여준다. 평균 전류는 2mA의 피크 전류를 가정하고 있는데, 이는 LPC1100이 10MHz에서 동작함을 뜻한다. 또한, 대기 전류를 낮추면 가동 시간이 연장되기 때문에 여기에는 가동 시간 효과도 포함되어 있다. LPC1100 딥 파워다운 모드가 200ms 기간 중 1ms 처리 시간 동안 사용될 경우 배터리는 3년 동안은 충분히 쓸 수 있다.

롭 코사로(Rob Cosaro), MCU 제품라인 시스템 아키텍처 애플리케이션 그룹 매니저|NXP 반도체

====================

출처: http://www.nekorea.co.kr/article_view.asp?seno=5678

촌스럽다? 화끈하다! 비키니보다 원피스

2009-06-18, 20면

올 여름은 비키니 못지않게 원피스형 수영복의 존재감이 도드라질 것 같다. 패션 트렌드처럼 수영복도 80년대 복고의 영향권 아래 놓여 있기 때문이다. 세월을 거슬러 올라갔다고 해서 가슴팍이 올라붙고 칙칙한 색상의 고루한 스타일을 예상했다면 한참 틀렸다.

화끈하게 드러내는 것을 신조로 삼아 비키니를 고집하면서, 원피스 수영복은 사감 선생들이나 입는 것으로 치부했던 여성들조차도 혹할 과감한 스타일이 쏟아지고 있는 것. 허리선을 시원하게 도려낸 것은 기본이고 가슴골부터 배꼽 부분까지 화끈하게 파낸 파격적인 스타일은 아찔함에 있어서 비키니 뺨친다.

수영복의 색상과 무늬는 본디 화려함을 자랑해왔다. 눈부시게 밝은 태양 아래서 그래야만 멋스럽게 보인다고 여겨져왔으니 당연하다.

올해는 짙어진 불황의 그림자를 떨쳐내자는 욕구가 더욱 강렬해진 듯 한층 밝아지고 무늬도 큼직해졌다. 눈이 시릴 정도의 원색과 형광색의 우세가 확연하다. 원피스보다는 비키니에서 이러한 추세가 강한데, 단색일 경우 색을 통일해서 입는 것이 아니라 노란색과 감색 등 온도차가 확연히 느껴지는 색상을 과감하게 매치하는 형식이 힘을 얻고 있다. 위, 아래를 다른 색상으로 처리해 두 벌의 느낌을 주는 원피스 수영복도 등장해 이같은 추세를 강화하고 있다.

큼지막한 무늬와 화려한 색이 특징인 아프리칸 룩은 특히 비키니에 많은 영향을 끼쳤다. 구름, 식물 등 자연을 소재로 한 다양한 무늬가 수영복을 장식하고 있다. 전통적인 플라워 프린트의 구속에서 벗어나라고 외치고 있는 듯하다. 그저 여성스럽게 보이기보다 당당하고 자신있게 보이고 싶은 여성들을 위해 기하학적인 무늬가 들어가거나 비대칭으로 재단한 스타일도 선택을 기다리고 있다.

박상숙기자 alex@seoul.co.kr

■ 당신의 감추고 싶은 몸매… 이런 수영복은 피해라

두꺼운 허벅지 짐작하겠지만 반바지 스타일을 절대 피할 것. 두꺼운 다리를 감춰보고 싶은 마음에 쇼트팬츠형을 택하지만 역효과다. 하의의 옆선이 허리쪽으로 과감하게 파진 것을 택해 다리를 시원하게 드러내야 한다.

볼록한 배 검정색 등 무채색 계열의 개성 없는 원피스 수영복의 유혹을 떨쳐낼 것. 죽어도 비키니를 못 입겠다면 수영복의 상의가 배까지 내려오는 탱키니 스타일을 택한다. 이 경우 상·하의를 다른 색상으로 입어야 지루하지 않다.

납작한 가슴 큰 가슴보다 다양한 스타일의 비키니를 소화할 수 있으니 좌절하지 말 것. 목 뒤에서 끈을 묶는 홀터넥 스타일이 좋다. 관건은 끈의 두께. 너무 얇은 끈은 빈약함을 드러내니 끈이 굵은 스타일을 택한다.

일자형 몸매 과하게 몸매를 드러내는 비키니를 택해 빈약함을 과시할 필요 없다. 착시로 굴곡을 만들어 줄 수 있는 원피스가 좋다. 이런 몸매는 다소 과감한 수영복을 입어도 부담스러워 보이지 않으니 허리가 깊게 파이거나 가슴골부터 배꼽까지 파진 스타일에 도전해도 무방할 듯.

====================

출처: http://www.seoul.co.kr/news/newsView.php?id=20090618020005&relation=hit

2009년 6월 15일 월요일

Emailing: Ember rolls out Cortex M3 Zigbee MCU: is there any differentiation left? - Practical Chip Design - Blog on EDN - 1690000169

Monday, June 8, 2009

Ember rolls out Cortex M3 Zigbee MCU: is there any differentiation left?

In a remarkably short arc, Zigbee radios for embedded applications have gone from "how are you gonna do that in CMOS?" to commodity items. "In theory, these days the Zigbee radio is just another peripheral in an integrated embedded system like a power meter," observed Ember senior vice president of engineering Skip Ashton. "The radios have all been converging lately onto pretty similar sets of specifications."

Still, that's not quite the whole picture. When you integrate a Zigbee radio onto a microcontroller, Ashton points out, this one peripheral takes up about a third of the die. And it requires special design skills way beyond those necessary for counters, timers, or A/D converters. The size, power consumption, and proprietary skills that go into a Zigbee radio continue to make it a special interface, somehow not quite commodity.

And when something is special, it can be a source of differentiation. One area to look out for, according to Ashton, is the amount of energy that is consumed in interactions between the radio hardware and the MCU software. If the MCU is inefficient or the radio interface is poorly thought-out, or if all the opportunities to exploit power-reducing modes have not been explored, you can end up with quite a difference in energy consumption, both on a per-message basis, on as a function of operating hours. These are differences, Ashton says, that you can entirely miss if you rely on data sheets. But in applications that must be battery-powered or must scavenge power, they are vital design issues. Unfortunately, there may be no alternative but for the evaluation team to get samples, code up stubs for an application, and make actual power and execution-time measurements.

The importance of understanding hardware-software interactions led Ember to a rather retro development approach, Ashton said. Instead of relying on system-level simulations, the company very early in the project breadboarded the analog and RF sections of their new chip with discrete components, loaded the RTL and the Cortex M3 into an FPGA, and threw real protocol stack and application software at this hardware emulation. As development progressed, the analog/RF teams dropped a test chip into the emulation in place of the breadboard, but the FPGA stayed. This gave the Ember designers the ability to stress-test the design with real software, look at real timing issues, and understand the hardware-software interactions.

"We found the really subtle bugs in the emulation," Ashton said. "When you simulate, you control time. Doing that, you can make implicit assumptions because you think you understand what's going on. In an emulation, you can execute a huge number of instructions, and everything is going to happen in real time. That can make a big difference. We would find the subtle things on the emulator—things that never would have showed up in simulation—and then pass them back to the simulation guys to explore."

Along with emulation, Ashton is very positive on the choice of the ARM Cortex M3 core. It's a bit unusual in a market that has been dominated by 8051 or proprietary 8-bit cores. But the increased computing power of the M3, even at 12 or 24 MHz, coupled with up to 192 Kbytes of Flash and 12 Kbytes of RAM, gives the customer a lot of headroom for applications development. And the higher efficiency of the M3 compared to older architectures means that the Cortex is actually running a lot less of the time executing the protocol stack, keeping energy consumption down.

Ashton also likes the efficiency of the M3 in terms of latency. He said the interrupt blocking time—the period after entering an interrupt routine when interrupts are masked—is less than 40 microseconds on the M3, compared to over 200 microseconds on alternative cores. This could be the difference between catching or dropping the next packet. And the code efficiency reduces the size of the protocol stack, leaving more room for applications code. "We saw our protocol stack shrink by about 15-20 percent just by recompiling it for the M3," Ashton said.

Finally, Ashton points with some relief to the debug facilities on the M3. Because the ARM embedded debug logic is a de-facto standard, customers can use the chip's hardware debug facility with their mainstream software debug tools. And Ember has integrated the software debug stuff with their own Packet Trace tool, allowing the customer to watch what's going on in the Zigbee packet stream and the Cortex code stream simultaneously.

That is a lot more effective than trying to reason out what's going on based on data from a sniffer, Ashton observed. "You can't trust sniffers. All they can tell you is what they saw in the air. They don't know what your radio actually received, or what the protocol stack did with it." Pulling together embedded debug hardware, software debug tools, and Packet Trace gives an end-to-end picture of an over-the-air transaction. Particularly important to Ashton, the ARM debug facility works in any of the processor's power modes, including letting you see inside a sleeping M3.

So is embedded Zigbee silicon getting commoditized? Maybe from a datasheet perspective the answer is yes. But at least one vendor is arguing that from the point of view of the development team that has to use the chip, and in real-life energy consumption running an application, the answer is no.


Emailing: [로우엔드급 오실로스코프]저렴하고 편리한 오실로스코프 “여기 다 모였네!”

[로우엔드급 오실로스코프]저렴하고 편리한 오실로스코프 "여기 다 모였네!"
글로벌 경제의 침체가 지속되자 우리나라 산업 전반에도 위기설이 거론되고 있다. 신규투자가 거의 전멸한 상태다. 대부분의 업체들은 당장 예산을 줄이고 인력 조정에 집중하고 있다. 상황이 이렇다보니 신기술 개발의 '바로미터'라는 계측기 역시 고가 장비 구입이 부담스러워진 형태다. 한편 가격 경쟁력과 사용 편리성에 중점을 둔 로우엔드급 오실로스코프 시장은 오히려 팽창하지 않겠냐는 예기가 심심치 않게 나오고 있다.

전세계적으로 불황의 그림자가 거칠줄 모르고 있다. 글로벌 경제의 침체가 지속되며 우리나라 산업 전반에도 위기설이 거론되며 신규투자가 거의 전멸한 상태다. 한편에서는 '위기가 기회다' 라며 오히려 공격적인 투자를 단행하고 있기도 하지만 이런 경우는 극히 일부라는 데 관련업계는 입을 모은다. 대부분의 업체들은 당장 예산을 줄이고 인력 조정에 집중하고 있다. 상황이 이렇다보니 신기술 개발의 '바로미터'라는 계측기 역시 고가 장비 구입이 부담스러워진 형태다. 업계의 한 관계자는 "물론 불황이라고 해서 하이스피드 애플리케이션을 개발하는데 로우엔드 스코프를 구입할 수는 없으므로 기존 하이엔드급 구매고객들이 로우엔드 시장의 수요처로 바뀌지는 않을 것"이라며 "하지만 신규 투자가 어려운 시장 상황을 감안해볼 때, 하이엔드 및 미들레인지급 수요처의 구매는 급감하지만, 로우엔드급 스코프의 낮은 가격은 불황에 관계없이 매출을 올릴 수요처가 될 것으로 기대된다. 결국 관련업체들의 경쟁도 심화될 것"이라고 전망했다.

저렴한 가격, 사용 편리성 '매력 만점'

그렇다면 소위 말하는 저가의 로우엔드급 오실로스코프의 경쟁력은 어느 정도인가. 당분간 고가의 하이엔드급 장비에 대한 수요가 줄어들 것으로 예상되고 있는 상황에서 가격 경쟁력과 사용 편리성에 중점을 둔 로우엔드급 오실로스코프 시장은 오히려 팽창하지 않겠냐는 이야기가 심심치 않게 나오고 있다.  
로우엔드급은 일반적으로 200MHz급 이하의 스코프를 말하며, 교육기관 또는 파형 관측과 비교적 간단한 측정을 수행하는 엔지니어들이 선호하고 있다. 이 시장은 하이엔드급 스코프처럼 복잡한 분석 기능이나 고성능의 사양이 필요하지 않고, 주로 신호를 관측하는 것이 주된 용도이기 때문에, 가격이 구매를 결정짓는 주 요인이 된다. 여기에다 얼마나 사용이 편리한지(사용자 인터페이스) 시야각이 좋은지(컬러화면, 화면의 크기), USB나 RS232 연결을 지원하는지, 가격대비 메모리가 빠르고 샘플속도가 높은지가 고객이 스코프를 결정하는 기준이 되고 있다. 따라서 요즘에는 로우엔드급이라 해도 가격대비 '똑똑한' 스코프 장비에 대한 선호도가 높다.   
애질런트 테크놀로지스 측은 "로우엔드급 스코프 선정에서 고객들이 가장 먼저 고려하는 사항은 한정된 예산 내에서 보다 많은 기능이 탑재된 오실로스코프를 선정하는 일이다. 한마디로 '가격과 성능'이라고 말할 수 있는데, 가격만큼이나 원하는 측정항목 및 효율성을 제공하는 기능도 중요한 요소 중에 하나"라고 강조했다. 현재 애질런트에서 제공하고 있는 DSO3000시리즈 및 DSO5000시리즈는 이러한 두 가지 항목을 동시에 만족시키는 장비로 특히 스코프 메모리 및 업데이트 속도 기능이 탁월해 짧은 시간 내에 최대의 생산성을 제공하고 있다.   

MSO 장비 '급부상'

한편 2008년 임베디드 시장 조사(2008 Embedded Market Study)에서 임베디드 설계 엔지니어들은 오실로스코프를 최고의 디버깅 하드웨어 장비로 꼽았다. 고객들은 차별화된 가격대의 강력한 기능의 편리한 툴을 원한다는 것. 이에 주요 계측기 업체들이 잇따라 혼합신호 오실로스코프(MSO) 장비를 새롭게 출시해 눈길을 끌기도 했다. 최근 텍트로닉스가 선보인 MSO2000/DPO2000 시리즈 오실로스코프는 혼합 신호 기능, 직렬 디코딩, 컴팩트한 사이즈, 경제적인 가격으로 임베디드 설계에 있어 효율성을 높였다.
텍트로닉스 측은 "지금까지 오실로스코프는 일반적으로 그 채널 수가 2개 또는 4개 아날로그 채널로 제한되어왔다. 오늘날 임베디드 설계에서 나타나는 혼합 신호의 복잡한 디버깅으로 인해 기존의 채널 보다 많은 수의 채널을 가진 오실로스코프의 필요성이 증가 되었다"며 "여러 장비를 테스트 셋업 함으로 인한 복잡성 없이 아날로그 및 디지털 채널을 모두 제공하고, 엔지니어들이 임베디드 설계를 디버깅하는데 필요한 기능은 물론 간편함을 제공한다"고 강조했다. 

<주요 솔루션 소개>

● 애질런트 테크놀로지스

세계적인 계측기 시장 조사기관인 Prime Data에 의하면 애질런트의 스코프 성장율이 근 10년동안 2배 이상 빠르게 성장하고 있다고 조사되었다. 이는 애질런트의 오실로스코프가 시장에서 유저의 요구에 부합되고 있음을 보여 주는 것이다.
현재 애질런트에서는 핸드헬드형 타입의 저가 오실로스코프부터, 일반 소비 가전 및 교육기간에서 사용되어지는 DSO3000, 5000시리즈 오실로스코프, 복잡해지는 임베디드 환경에서 쉽게 디지털 디버깅을 가능케 하는 DSO/MSO 6000, 7000 시리즈까지 다양한 제품군으로 구성이 되어 있어 유저의 사용목적 및 투자요건을 충족하고 있다.  
애질런트 중저가 오실로스코프의 장점은 단연 성능이라고 말할 수 있다. 특히 애질런트가 내세우고 있는 MegaZoom 기술력을 탑재한 오실로스코프의 메모리는 장시간 많은 데이터를 포착하여 유저가 원하는 부분의 문제점을 놓치지 않고 리얼하게 스코프 화면에 보여 줄 수 있다. 또한 최저의 노이즈 레벨, 최대의 파형 업데이트 속도 및 하드웨어 기반의 시리얼 디코드 기능은 기존의 고성능 오실로스코프에서만 누릴 수 있던 기능을 중저가 제품에 적용시킨 것이 가장 큰 장점이라고 할 수 있다. 이로서 유저들은 적은 예산으로 보다 많은 기능을 탑재한 오실로스코프를 접할 수 있다.

● 텍트로닉스

최근 출시한 MSO2000 시리즈는 엔지니어들의 디지털 데이터 작업 방식을 간단하게 만들어 준다. 엔지니어들이 단일 장비에서 아날로그와 디지털 신호의 시간 상관 관계 분석이 가능하도록 16개의 디지털 채널이 통합되어 있고, MSO2000 시리즈는 엔지니어들이 셋업 및 설계 디버깅하는데 사용하는 시간을 단축함으로써 나은 품질의 제품을 시장에 더 빨리 출시할 수 있도록 지원하는 여러 가지 기능도 제공한다. 아래 기능이 그러한 기능에 해당한다.

·P6316 혼합 신호 오실로스코프 프로브(Mixed Signal Oscilloscope Probe)
·차세대 디지털 파형 디스플레이(Next Generation Digital Waveform Display)
·병렬 버스 트리거링 및 분석(Parallel Bus Triggering and Analysis)
·다중 채널 셋업 및 홀드 트리거링(Multi-Channel Setup and Hold Triggering)
·웨이브 인스펙터 컨트롤(Wave Inspector Controls)

● 르크로이

- WaveAce 시리즈
2~3배 더 긴 18kpts/Ch와 9kpts/Ch, 4kpts/Ch의 메모리를 가짐으로써, 각 트리거에서 더 많은 파형 정보를 제공하고, 채널간 고급 타이밍 스큐, 위상측정, 에지-투-에지 측정을 위한 고급 타이밍 파라메터를 비롯, 32개의 자동 파라메터를 탑재하여 파형에 대한 이해와 분석을 대폭 강화했다. 추가로 패스/페일 테스트와 같은 사용자 정의 디지털 필터와 파형 시퀀스 레코더 등의 특징들이 지원되어 디버깅을 더 쉽고 빠르게 할 수 있다. 이미지나 파형, 설정을 더 쉽게 저장하도록 USB 호스트 포트가 전면에 있고 후면에는 USB 디바이스포트가 PC나 프린터에 바로 연결 가능하다.
또한 내부 메모리로부터 파형 및 설정을 저장하고 불러오는 기능이 테스트 및 디버깅 기간을 절약해 준다. 최대 20개의 파형, 20개의 설정, 2개의 레퍼런스 파형을 저장 가능하다.
특히 쉬운 사용환경이 디버깅 작업을 더 간편하게 해준다. 메뉴의 사용이 매우 쉽고 한국어를 지원하며 프런트패널 사용이 간편하다.

- WaveJet 시리즈
2GS/s 속도에서 200배 더 긴 신호 포착이 가능하므로, 높은 샘플링 속도와 장기간 신호 포착 시간과의 상충관계를 해소했다. 느린 신호와 빠른 신호를 함께 측정 가능하고, 느리지만 빠른 상승시간을 가진 신호 관측에 이상적이다. 또한 WaveJet은 불규칙적인 이상신호(런트,글리치)를 시간에 따라 다시 돌려보며 확인할 수 있으므로 신호 분석과 에러 수정을 간편하게 수행한다. 또한 26개의 자동화된 파라메터를 사용하여 신호를 측정하고, 사칙연산과 FFT를 수행 가능하므로 측정과 분석업무를 하는 시간을 절약해 준다. 이 외에도 포착한 신호 및 스코프의 환경 셋업을 내장 메모리 또는 USB 메모리에 쉽게 저장하고 불러낼 수 있다.

● 요꼬가와인스트루먼트

요꼬가와인스트루먼트 역시 저렴하면서도 사용법이 쉬운 소형 MSO인 'DLM2000'시리즈를 출시해 눈길을 끈다. 이 제품은 주파수 대역이 200~500MHz로 오실로스코프의 코어 기술을 한 개의 칩에 집적한 '스코프코어(ScopeCORE)' 엔진을 탑재한 제품이다. 4채널을 아날로그나 디지털로 변환할 수 잇는 하이브리드 채널을 탑재했다. 또한 최대 아날로그 4채널이나 아날로그 3채널 플러스 디지털 8채널 입력이 가능하다. 특히 2.5GS/s 고속 샘플링 레이트와 클래스 최장 롱 메모리 125Mpts를 탑재했다. 오실로스코프의 '소형, 경량, 저가격'이라는 기본 컨셉을 바탕으로 디지털화되고 있는 메카트로닉스와 일렉트로닉 시장 요구에 적절한 대응이 가능하다. 이 외에도 사용 빈도가 높은 기능 노브를 독립 배치하고 메뉴와 판넬에 8개국어 지원 가능, 종형 폼에 기존 제품 대비 2배의 대화면 디스플레이를 채용하여 파형을 보기 쉽게 하는 등 손쉬운 사용도 눈에 띠는 특징이다.

기자 : 허지나기자 기자 heojina@semiconnet.co.kr 기사 입력 시간 : 2009년 3월
관련 URL :
 
 

2009년 6월 12일 금요일

제4회 전국 푸른숲길 달리기대회

한겨레,물류사랑 3.1절 마라톤대회

멀티코어 SoC를 위한 인터커넥트 선택시 고려 사항

NE-Korea Edition

멀티코어 SoC가 등장함에 따라 반도체 디바이스, 보드, 서브시스템 사이의 경계가 새롭게 정립되고 있으며, 이에 따라 칩 대 칩, 보드 대 보드 인터커넥트의 필요성이 새롭게 대두되고 있다. 기존의 표준에 기반한 인터커넥트로는 이러한 변화에 대응할 수가 없기 때문이다.

1970년대에 처음으로 마이크로 프로세서가 발표되면서 일반적인 컴퓨팅 시스템은 개별 프로세서, 메모리 컨트롤러, I/O 인터페이스 디바이스를 사용하는 단일 보드로 구성되었고 각 디바이스는 보드 레벨의 버스로 서로 연결되었다. 그후 더 높은 성능이 요구되자 여러 개의 보드가 함께 조립되었으며, 백플레인이 시스템 레벨의 버스를 사용하여 카드 사이의 통신 기능을 제공했다.

초기에는 이러한 보드 및 시스템 인터커넥트 프로토콜이 독자적인 규격을 따랐다. 하지만 시간이 지날수록 이러한 비공개 프로토콜들은 이더넷, PCI 익스프레스 또는 RapidIO 같은 표준 인터커넥트 프로토콜에 자리를 내주게 되었다.

이와 동시에 무어의 법칙에 따라 IC 기술은 크게 발전하였으며, 특정 가격대에서 사용 가능한 트랜지스터의 수와 속도 모두 크게 향상되었다. 이러한 추세들로 인해 프로세서 성능은 비약적으로 발전하였다.

멀티코어 SoC의 대두

반도체 디바이스는 대부분의 세대에서 이러한 선순환을 거듭해왔다. 하지만 불행히도 단일 프로세서의 성능 발전 속도는 이제 사실상 멈춘 상태이다. 이러한 결과를 낳게 한 가장 중요한 요인은 전력 소모다. 트랜지스터의 소형화는 스위칭 주기의 단축을 이끌어냈다. 트랜지스터가 축소되면서 누설 전류량은 늘어났으며, 이는 고정 소비 전력의 증가로 이어졌다. 또한 트랜지스터의 스위칭 속도가 빨라짐에 따라 동적 소비 전력도 늘어났다.

오늘날의 반도체 공정 기술의 물리적 특성에 따라 전력 소비가 늘어나게 되면서 몇 가지 현실적인 문제가 부각되었다. 첫째는 개별 프로세서의 성능이 공급할 수 있는 전력량과 시스템 내에서 방출되는 전력량에 의해 제한된다는 것이다. 둘째는 트랜지스터 예산은 증가하지만 달성 가능한 클록 속도는 그렇지 않다는 것이다.

트랜지스터 예산이 꾸준히 증가함에 따라 업계는 복수의 프로세서 코어에 메모리 컨트롤러, 애플리케이션 가속기, I/O 인터페이스가 통합된 멀티코어 SoC 디바이스로 빠르게 옮겨갔다. 시스템 전반에 걸쳐 충분한 병렬 처리가 가능하다면 멀티코어 디바이스로 시스템 성능을 현저히 개선할 수 있기 때문이다.

개별 구성요소의 성능이 향상됨에 따라 각 구성요소가 사용하는 인터커넥트의 대역폭도 늘어났다. 각각의 기능이 하나의 멀티코어 SoC 디바이스 내에서 중첩됨에 따라 이러한 요구 조건 역시 시급히 해결돼야 한다는 목소리가 여기저기서 터져나왔다.

SoC가 부각되면서 개별 구성요소와 각 구성요소가 구현하는 시스템 아키텍처 사이의 문턱이 낮아졌다. 과거에는 하나의 보드에 완벽한 컴퓨팅 시스템 한 개가 탑재되었다면, 현재는 여러 개의 컴퓨팅 시스템이 하나의 디바이스에 구현되고 있는 것이다.

SoC 디바이스로 옮겨가는 추세는 SoC와 다른 디바이스 및 네트워크 사이의 인터커넥트에 대한 요구 조건을 변화시켰다. 초기의 경우, 보드 및 시스템 레벨 인터커넥트는 공유 버스를 사용했다. 인터커넥트 성능 향상에 대한 요구에 대해서는 클록 속도 향상과 버스 대역폭 확대 등 과거 프로세서에서와 유사한 형태의 대응 방식이 이루어지곤 했었다. 그러나 이러한 대응들은 역시 프로세서와 마찬가지로 물리적 특성에 따라 결국 버스를 공유하는 디바이스의 수를 줄일 수 밖에 없었다. 이는 버스 분할, 계층형 토폴로지, 그리고 궁극적으로 포인트-투-포인트, 스위치 기반의 네트워크로 이어졌다.

트래픽 스트림의 증가

임베디드 시스템은 대개 제어 플레인, 데이터 플레인, 시스템 관리 플레인이라는 세 가지 서브시스템 기능으로 분할된다. 시스템이 하나의 컴퓨팅 시스템으로 구성된 경우 시스템 레벨의 트래픽 스트림 수가 제한된다. 원칙적으로 가용한 버스 기반의 인터커넥트에서는 한번에 하나의 트래픽 스트림만을 수용할 수 있으므로 이는 다행한 일이다(그림 참조).

시스템 성능을 개선하기 위한 목적으로 각각의 기능마다 전용 프로세서가 적용되었지만, 복수의 동시 통신 스트림으로 인해 곧 서비스 품질(QoS) 문제가 떠올랐다. 대부분의 경우 대역폭을 최적화하고 개별 스트림 사이의 불필요한 상호 작용을 방지하고자 세 가지 독립적인 인터커넥트가 사용되었다. 이러한 시스템에서 각각의 프로세서는 단일 기능을 수행하며 단일 스트림 또는 소수의 트래픽 스트림만을 처리한다.

하지만 멀티코어 SoC로 인해 이러한 추세는 획기적으로 달라졌다. 각 코어가 자체 스트림을 처리함에 따라 이제는 칩 하나에서 수많은 트래픽 스트림을 예상할 수 있게 된 것이다.

멀티코어 아키텍처의 임시방편으로 하나의 멀티코어 SoC에 제어, 데이터, 관리 플레인 기능의 통합을 추구하면서 기존 코드의 병렬 처리라는 임박한 문제가 예상된다. 이는 예컨대 4개 코어를 탑재하고 있는 디바이스에 최소한 세 가지 이상의 스트림 증가를 야기할 것이다. 장기적으로 볼 때 소프트웨어는 멀티코어 중심이 될 것이며, 여러 개의 코어가 개별 데이터 또는 제어 플레인 기능을 수행하는 방향으로 되돌아갈 것이다. 어떤 경우라도 멀티코어 SoC를 사용한다면 복수의 트래픽 스트림이 존재하게 된다. 미래의 SoC에서는 8개, 16개 또는 그 이상의 코어를 사용하면서 향후 2~4년 사이에 단일 디바이스에서 지원하는 스트림 수가 크게 증가할 것이 예상된다.

다시 원래의 질문으로 돌아가보자. 현재의 인터커넥트 솔루션으로 과연 여러 개의 트래픽 스트림을 지원할 수 있는가? 간단히 답하자면 그렇다고 할 수 있다. 단일 인터커넥트로 전송하기 전에 멀티플렉싱을 적용하면 여러 개의 스트림을 지원할 수도 있을 것이기 때문이다. 하지만 여기에는 두 가지 해결해야 할 과제가 있다. 하나는 대상에서 스트림을 어떻게 디멀티플렉싱할 것인가 이고, 다른 하나는 보장 대역폭, 평균 또는 최소 대기 시간 등 각 스트림에 고유한 서비스 매개변수를 어떻게 지정할 것인가 하는 것이다.

이러한 문제를 해결하려면 몇 가지 프로토콜 기능이 필요하다. 첫째, 프로토콜에서 개별 스트림의 차별화를 지원해야 한다. 다시 말해, 프로토콜이 선로상의 패킷을 검사하고 해당 선로에 어떤 스트림이 속하는지 판단할 수 있어야 한다. 둘째, 패킷이 인터커넥트를 통과할 때 프로토콜에서 특정 서비스 매개변수를 적용할 수 있어야 한다. 이는 중재 및 흐름 제어를 통해 가능하다. 예를 들어, 견실한 QoS라면 인터커넥트상의 혼잡 현상 범위를 제한할 수 있는 복수의 흐름 제어 메커니즘이 필요하다. 이러한 메커니즘에는 링크 대 링크 방식의 종합적인 진입/퇴출 관리가 포함될 수 있다.

OSI 형식의 계층형 프로토콜을 사용하는 최신 포인트-투-포인트 인터커넥트라면 이러한 새로운 스트리밍 요구조건을 만족할 것이라고 짐작할 수도 있다. 하지만 불행히도 이보다 더 잘 준비된 것이 있는 게 현실이다.

다양한 인터커넥트 기술

오늘날의 임베디드 시스템에서 가장 널리 알려지고 사용되는 인터커넥트는 아마도 이더넷일 것이다. 이더넷의 확장성은 수년간의 서비스를 통해 입증되었다. 기본적인 레이어 2 이더넷 프레임은 데이터그램 형식의 트랜잭션만을 지원하며, 스트림 차별화 헤더 필드가 정의되어있지 않다. 그에 따라 다양한 트래픽 차별화 방식이 그 위 계층에 구현되었으며, 그 예로 레이어 2의 VLAN 태그에서 레이어 3 트래픽에 적용되는 IP 헤더상의 광범위한 5 터플(5-tuple)이 있다. 후자는 수백만 가지의 서로 다른 스트림을 지원할 수 있다.

불행히도 이더넷에서는 QoS를 구현하기 어렵다는 사실이 증명되었다. 주된 원인은 폭넓게 도입된 흐름 제어 메커니즘이 없기 때문이며, 제한적인 링크 수준의 PAUSE-프레임 프로토콜만이 적용된다. 링크 수준을 넘어서는 문제에 대응하는 기술로서 관심을 가질 만한 것이 몇 가지 있다. 여기에는 레이어 2에서 VLAN 우선 순위 태그(802.1Q)를 사용하는 것과 레이어 2와 3 사이에 MPLS 헤더를 사용하는 방법이 있으며, 트래픽 관리 또한 흔히 적용되는 솔루션이다. 비공개 임베디드 시스템의 경우 대부분 이러한 체계의 일부만이 적용되며, 이더넷과 함께 사용할 수 있는 완벽하고 일관적인 접근 방식은 정의된 바가 없다.

이더넷을 구성하는 프로토콜 중 많은 부분이 대개 소프트웨어에서 구현되므로 또 다른 관련 문제가 야기된다. 비교적 하드웨어 지원이 적으므로, 소프트웨어 트랙을 통과할 때 트래픽 흐름에 부과되는 대기 시간과 대기 시간 지터에 의해 달성 가능한 QoS 매개변수가 제한된다는 점이다.

RapidIO 인터커넥트 사양은 1999년 최초로 정의되었으며, 이는 이더넷보다 발전된 시스템 인터커넥트 솔루션을 상징한다. 임베디드 시스템을 대상으로 하는 이 솔루션은 유지보수, 읽기/쓰기, 메시징, 데이터그램 형태의 데이터 스트리밍을 포함한 기본적인 트랜잭션 유형을 여러 개 정의하는 사양이다. 메시징과 데이터 스트리밍은 최소 4Kbyte 메시징이 포함된 스트림 4개에서 64Kbyte 데이터 스트리밍 트랜잭션을 지원하는 수백만 개의 스트림까지 광범위한 기능을 직접 지원한다.

QoS는 이 사양의 개발 단계에서 중요한 고려 사항이었으며, 재시도 및 크레디트 기반 링크 수준 흐름 제어, 종합적인 XON/XOFF 및 트래픽 관리 프로토콜 등 몇 가지 흐름 제어 메커니즘이 포함되어 있다. 이와 함께 이 사양에는 모든 구현 방식에서 지원해야 하는 세 가지 최우선 흐름이 정의되어 있다. 높은 우선 순위의 흐름에 포함된 트래픽이 부하가 많은 시스템에서 우선 순위가 낮은 흐름 내의 트래픽보다 낮은 대기 시간으로 통과되어야 한다.

임베디드 시스템에 널리 사용되고 있는 또 다른 인터커넥트 기술로 PCI 익스프레스가 있다. 원래 PC와 서버 시장을 대상으로 개발된 PCI 익스프레스 인터커넥트는 구성, 이벤트 메시징, 읽기/쓰기 트랜잭션을 지원한다. 데이터 메시징 또는 데이터그램 트랜잭션 기능이 없으므로, 주소별로 또는 정의된 가상 채널(VC) 8개와 트래픽 클래스를 사용하여 트래픽 차별화를 구현해야 한다. 현재까지 주소 기반의 차별화는 표준화되지 않았으며, 대부분의 구현 사례는 2개 이상의 VC를 지원하지 않는다. 이는 표준 PCI 익스프레스 인터커넥트 솔루션으로 복수의 트래픽 스트림을 차별화하는 기능을 극도로 제한하는 요소이다.

또한 QoS 지원도 시스템 차원에서 제한된다. 포인트-투-포인트 구현에 충분하며, 견실한 가상 채널 기준의 크레디트 기반 링크 수준 흐름 제어 솔루션도 있다. 하지만 PCI 익스프레스 인터커넥트 기술은 이 기능을 넘어서는 흐름 제어를 지원하지 못한다.

인터커넥트 선택의 고려 사항

시스템 설계자들은 곧 다수의 코어, 가속기 블록, I/O 기능이 포함된 복잡한 SoC 디바이스를 활용하게 될 것이다. 외부 인터커넥트는 견실한 시스템 솔루션의 핵심 요소이다. 이러한 추세에 따라 시스템 내의 트래픽 스트림 수가 상당량 증가하며, 상호 연결과 그 구현 방식에 전례 없는 압박을 가할 것이다.

이더넷은 확실한 트래픽 차별화를 지원하지만 실제 적용 환경에서 견실한 QoS 기능을 갖추지 못했다. 대부분의 PCI 익스프레스 인터커넥트 구현 사례에는 트래픽 차별화 기능이 아예 결여되어 있다. PCI 익스프레스 인터커넥트 기술은 제한적인 흐름 제어 때문에 장래의 멀티코어 디바이스에 대비한 준비가 가장 미비한 것으로 보인다. RapidIO는 세 가지 우선 순위 흐름을 통해 수백만 스트림의 차별화된 트래픽을 지원하며 견실한 QoS 기능을 갖춰 세 가지 기술 중 가장 유리한 고지를 차지하고 있다.

대부분의 멀티코어 SoC는 현재 공통 SerDes PHY를 통해 복수의 외부 인터커넥트 프로토콜을 지원하고 있다. 예를 들어, 8개 코어를 탑재하고 있는 프리스케일의 QorIQ P4080 프로세서의 SerDes 레인 16개를 앞서 설명한 프로토콜 중 하나 이상을 지원하도록 구성할 수 있다. 이러한 유연성은 설계자에게 작업에 가장 적합한 프로토콜을 선택할 수 있도록 지원하는 것이다.

프로토콜을 선택할 때 고려해야 할 요구조건에는 일반적으로 성능, 대기 시간 요구조건, 타사 디바이스와의 호환성, 외부 프로트콜 지원 필요성 등이 포함된다. 멀티코어 SoC가 출현함에 따라 이제 새로운 요구조건에 트래픽 차별화 기능과 견실한 QoS의 기반이 되는 효율적인 흐름 제어가 포함되어야 한다.

그레그 쉬픈(Greg Shippen), 네트워크 시스템 사업부 시스템 설계자|프리스케일 반도체

====================

출처: http://www.nekorea.co.kr/article_view.asp?seno=5677

RF4CE 대응 무선 리모콘 설계용 트랜시버+MCU+SW 통합 솔루션

저전력, 근거리 무선통신 기술의 활용도가 갈수록 높아지고 있다. 특히 적외선 리모콘을 대체할 것으로 기대되는 무선 리모콘 시장이 커지면서, 관련 업체들은 다양한 솔루션을 선보이는 중이다. TI는 최근 무선 리모콘 시장을 겨냥한 SoC 타입의 저전력 RF 솔루션을 출시했다. NE-Korea Edition

글_최정선 기자(sean@nekorea.co.kr)

홈 네트워크를 비롯하여 스마트 에너지(원격 검침 시스템), 빌딩 오토메이션, 환경 모니터링, 무선 의료장비, 그리고 리모트 컨트롤까지 근거리 무선통신이 사용되는 애플리케이션이 빠르게 늘어나면서 관련 시장에 대한 기대감도 커지고 있다.
이에 따라 1GHz 이하의 RF 대역을 활용한 무선통신 기술부터 2.4GHz 대역의 IEEE 802.15.4 표준과 지그비(Zigbee) 관련 기술까지 관련 업체들은 각각의 기술에 가장 적합한 킬러 애플리케이션 찾기에 분주하다.

무선 리모콘 시장
그 중에서도 적외선 리모콘을 대체하면서 각광 받고 있는 RF 무선 리모콘은 근거리 무선통신 기술들이 서로 노리고 있는 시장이다. 전세계적으로 무선 리모콘 시장은 연간 5억대 이상 규모로 추산되고 있을 정도로 단일 시장으로서는 규모도 상당하다. 거기에 TV와 셋톱박스, DVR 등의 디지털 가전에도 함께 칩이 내장되어야 하기 때문에 연관 시장 규모는 상상을 초월한다. 기대 시장규모가 이렇다 보니 RF 기술을 가진 거의 모든 업체들이 리모콘 시장을 노리고 있다고 해도 과언이 아니다.
이들 시장을 노리는 업체들의 RF 솔루션은 크게 RF 프론트엔드와 RF 트랜스미터나 트랜시버, MCU와 프로토콜 프로세서, SW 프로토콜 스택 등으로 나눌 수 있다. 많은 업체들이 각 부품별로 다양한 제품을 공급하고 있는 상황이며, TI와 프리스케일 등 몇몇 업체들은 트랜시버와 MCU를 통합한 SoC 형태로 RF 솔루션을 공급하고 있다.
특히 TI는 최근 2.4GHz 대역 RF 트랜시버와 8051 MCU, 플래시 메모리, RAM 등을 SoC 형태로 단일 칩에 실장한 'CC2530' 제품을 발표했다.
TI가 발표한 CC2530 솔루션은 최대 256K의 플래시 메모리와 DMA, GPIO, UART, ADC 등 주변장치 세트를 지원한다. 특히 지그비 규격의 Z-스택(Stack)과 RF4CE 프로토콜, 그리고 TI 자체 규격인 SimpliciTI 프로토콜 등 다양한 무료 프로토콜 소프트웨어 스택을 제공하는 것이 특징이다.

가전을 위한 무선, RF4CE
사실 RF4CE는 삼성전자와 소니, 파나소닉, 필립스의 4개사가 공동설립한 컨소시엄에서 시작되었다. 이들 주요 가전업체들이 서로 상이한 무선 리모콘의 규격을 통일, 표준화하기 위해서 결성된 것이다. 그런데 지난 3월에 지그비 얼라이언스와 RF4CE 컨소시엄이 통합되면서, '지그비 RF4CE' 규격으로 명명되었다. RF4CE는 간단히 말해서 기존 IEEE 802.15.4을 사용한 아주 간단한 기기 대 기기 연결과 제어를 위한 프로토콜이라고 볼 수 있다.
TI가 발표한 CC2530 솔루션에는 통합 SoC 칩과 RF4CE 리모콘 애플리케이션용 'RemoTI' 프로토콜을 비롯하여, '지그비 RF4CE 개발 키트'가 함께 포함된다. TI 역시 무선 리모콘 시장에 대비하여 RFIC부터 SW와 개발 툴까지 포함한 솔루션을 선보인 것이다.
TI 코리아의 김창식 차장은 "CC2530 IC 한 개면 무선 리모콘 구현이 가능하다. 시장의 대부분 제품들은 RF 트랜시버와 MCU가 별도로 구성되어 있어, 설계와 비용 측면에서 불리하다"면서, "경쟁 제품 중의 일부가 통합 칩 형태로 공급되고 있다. 하지만 SoC의 특성 상 성능 저하 여부를 확인해야 하며, 특히 RF4CE 프로토콜의 지원여부도 챙겨야 한다"고 조언했다.

====================

출처: http://www.nekorea.co.kr/article_view.asp?seno=5684

여름철, 아웃도어 세탁-보관 어떻게 하세요?

홍미경기자 mkhong@joynews24.com

등산, 자전거, 캠핑 등 아웃도어 시즌을 맞아 아웃도어 의류를 구입하는 사람들이 급증하고 있다. 하지만 아웃도어 의류의 관리 방법에 대해 제대로 알고 있는 사람은 많지 않다.

특히 여름철에는 더욱 세심한 주의가 필요하다. 아웃도어 의류를 오랫동안 새 것처럼 입기 위해선 올바른 세탁법과 관리 방법을 알아야 한다.

일반적으로 고어텍스 등 방수 소재를 사용한 제품의 경우 비나 눈으로부터 젖지 않도록 방수 효과를 가지고 있지만 등산 시 나뭇가지나 바위 등 외부 마찰에 의해 찢어지거나 구멍이 날 경우 제 기능을 발휘하지 못할 수도 있다.

제품이 훼손된 경우에는 원단을 덧대는 방식으로 해서 A/S 서비스를 받을 수 있으며, 세탁 시에는 가급적 드라이크리닝은 삼가고 손빨래하는 것이 좋다.

땀이 빨리 마르는 소재인 쿨맥스를 사용한 집티셔츠의 경우 세탁기에 넣고 돌리면 보풀이 발생하게 되는데 이는 제품상의 불량이 아니라 기능성 소재의 특성상 발생하게 되는 것이다. 따라서 세탁기 사용은 피하고 손으로 가볍게 주물러 세탁하는 것이 좋다.

고어텍스 등 방수 소재를 사용한 재킷류의 경우 재킷 안에 부착된 케어 라벨을 참고하는 것이 가장 기본이다. 중성 세제를 사용해 드라이할 경우에도 케어 라벨에 기재된 용재를 사용해 세탁해야 한다.

집에서 세탁할 때는 오염이 심한 부분만 먼저 세제로 가볍게 문질러 오염을 제거 후 전체적으로 세탁하며 그늘에서 말린다.

기능성 소재를 사용한 팬츠류의 경우 중성세제를 이용해 가볍게 주물러 세탁한다. 특정 섬유유연제(피존) 사용 후 헹굼을 안하면 세제 얼룩이 남을 수 있기 때문에 주의해야 한다. 가급적 특정 섬유유연제는 피하고 헹굼을 여러번 해서 세제 얼룩이 남지 않도록 한다.

기능성 소재를 사용한 티셔츠류의 겨우 가급적 중성세제를 이용해 단기간 손세탁 하는 것이 오래 입을 수 있는 방법이다. 세탁기 사용 시는 보호망에 넣어 단기간에 세탁하도록 한다. 그늘에서 건조시킨다.

산행을 마치고 돌아온 후 등산화 바닥과 신발 겉에 묻은 흙을 잘 털어내야 한다. 더러운 부분은 솔에 물을 묻혀 닦아주어야 한다. 특히 신발이 비를 맞았을 경우에는 바람이 잘 통하는 곳에 말려 냄새를 빼주어야 한다.

가죽 등산화의 경우 햇볕에 말리면 형태가 변할 수 있으므로 꼭 그늘에서 말려야 한다. 손질이 끝난 등산화는 신문지를 구겨넣어 신발장에 넣어서 보관하면 남은 습기를 제거해 주고 형태가 내려앉는 것을 방지해준다.

[자료제공=노스페이스, K2]

====================

출처: http://joynews.inews24.com/php/news_view.php?g_serial=418473&g_menu=704110

2009년 6월 5일 금요일

전력 최적화 실현한 자일링스 FPGA

프로그래머블 로직

전력 최적화 실현한 자일링스 FPGA

게재:2009년05월28일

By Matt Klein
Principal Engineer
Technical marketing
Xilinx, Inc.

지난 6년에 걸쳐 IC 공정기술은 130nm에서 90nm, 그리고 현재의 65nm 노드에 이르기까지 진보해 왔으며, 각 단계마다 전력관리의 중요성 또한 함께 증가해 왔다. 제조업체들은 130nm 노드에서 스탠바이 모드에서 조차 트랜지스터 전력 누설이 발생한다는 사실을 알게 되었다. 90nm에서는 IC의 동작전압이 감소하지만 누설전류가 계속 증가하면서 디바이스의 전력 낭비는 더 많은 비중으로 높아졌다. 65nm에서도 이러한 경향은 계속되었다. 사실 65nm 노드에서의 누설전류는 많은 디자이너들이 성능 스펙을 충족시키는 것만큼 전력 관리 또한 중요하게 고려되어야 한다는 사실이 보다 분명해 졌다.

전통적으로 FPGA 공급업체들은 광범위한 어플리케이션을 위한 디자인과 상당히 많은 고속 트랜지스터로 이뤄진 디바이스를 설계해 왔기 때문에 FPGA가 가장 전력소모가 적은 디바이스라고 말할 수는 없다. 최첨단 공정기술로 설계된 다른 실리콘과 마찬가지로 누설전류를 가진 트랜지스터를 사용한다. 하지만 디자이너들은 FPGA의 프로그래머블 특성을 활용하거나 정확한 전력 예측이 가능한 관련 툴을 사용하여 보다 전력 효율적인 FPGA 디자인과 PCB를 만들 수 있는 최적의 설계기법을 얻을 수 있다.

FPGA의 전력소모는 주요한 2 가지의 타입이 있는데, 정적 및 동적 전력소모이다. 정적 전력소모는 누설 트랜지스터로부터 기인하며, 디자인 상에서 아무런 작업을 하지 않더라도 발생한다. 동적 전력소모는 디바이스가 동작하는 동안에 소모하는 전력으로 해당 기능의 전압, 주파수, 커패시턴스에 따라 노드가 토글링된다. 따라서 전력소모 한계치에 맞게 전력을 최적화하기 위해서는 이러한 두 가지 타입의 전력을 이해하고 어떻게 서로 다른 동작 조건 하에서 변화하는지를 파악하는 것은 매우 중요하다.

정적 및 동적 전력소모와 변동성

누설전류는 90nm는 물론 보다 까다로운 65nm에서 ASIC과 FPGA에게 모두 매우 중요한 문제이다. 보다 높은 성능의 트랜지스터를 얻기 위해서는 경계값 전압이 낮아져야 되지만, 반면에 누설전류는 증가하게 된다. 자일링스는 누설전류를 최소화하기 위해 많은 것들을 수행해 왔지만, 그럼에도 불구하고 누설전류로 인한 정적 전력소모의 변동은 최악의 경우와 일반적인 공정 간의 차이가 2대 1 정도였다. 또한 누설전류는 VCCINT의 3제곱으로 변화함으로써 코어 전압(VCCINT)으로 인해 크게 영향을 받는다. 정적 전력소모는 VCCINT이 5%만 증가해도 약 15%까지 상승하게 된다. 마지막으로 누설전류는 접합(혹은 다이) 온도에 따라 크게 영향을 받는다.

그림 1과 2는 전압 및 온도에 따른 누설전류로 인한 정적 전력소모의 변화를 나타내고 있다.

 그림 1. 다이 온도로 인한 누설전력 변동

그림 1. 다이 온도로 인한 누설전력 변동

 그림 2. 코어 전압(V<SUB>CCINT</SUB>)로 인한 누설전력 변동

그림 2. 코어 전압(VCCINT)로 인한 누설전력 변동

FPGA의 정적 전력소모의 또 다른 원인은 공정 및 온도가 현저하게 불변하지 않는다면 대부분 동작회로의 DC 전류가 된다. 예를 들어, I/O DC 전류(HSTL, SSTL, LVDS와 같은 종단의 표준 상에서 I/O 터미네이션 전압)를 비롯해 LVDS와 같은 I/O 타입들의 전류 드라이버 상의 DC 전류가 해당된다. 또한 공정 및 온도가 불변하더라도 일부 FPGA의 아날로그 블록이 정적 전력소모의 원인이 되기도 한다. 이러한 것들은 자일링스 FPGA 내의 DCM(Digital Clock Manager)이나 클럭 제어 요소 등이 해당되며, 자일링스 버텍스-5(Virtex-5) FPGA에서 제공되는 PLL(Phase Locked Loop)과 자일링스 FPGA의 입출력 신호 상의 프로그래머블 딜레이를 선택하기 위해 사용되는 IODELAY 등이 있다.

동적 전력소모는 FPGA 코어나 I/O 내에서 스위칭 이벤트가 발생하는 동안에 소모된다. 동적 전력소모를 산출하기 위해서는 토글링 트랜지스터의 수와 트레이스, 커패시턴스, 토클링 주파수를 알아야 한다. 트랜지스터는 FPGA 상의 로직과 메탈 트레이스 간의 프로그래머블 인터커넥트를 위해 사용된다. 커패시턴스는 트랜지스터 기생 커패시턴스와 메탈 인터커넥트 커패시턴스로 이뤄진다. 따라서 동적 전력소모의 공식은 PDYNAMIC=nCV2f가 되며, 여기에서 n은 토글링 노드의 수, C는 커패시턴스, V는 전압 스윙, f는 토글 주파수이다.

로직 결합을 보다 타이트하게 구성하면(FPGA 내부 아키텍처 변화를 통해) 스위칭 트랜지스터의 수는 감소한다. 보다 적은 트랜지스터를 사용함으로써 이들 간의 라우팅 길이를 줄일 수 있고 동적 전력소모 또한 감소시킬 수 있다. 따라서 65nm 기반 버텍스-5 FPGA의 트랜지스터는 보다 낮은 게이트 커패시턴스와 짧은 인터커넥트 트레이스를 사용하기 때문에 약 15~20%까지 노드 커패시턴스를 줄일 수 있다. 이는 곧 동적 전력소모를 낮추게 된다.

전압 또한 동적 전력소모에 영향을 준다. 90nm에서 65nm 프로세스 노드로 이전함에 따라 간단하게 VCCINT이 1.2V에서 1V로 낮아지면서 버텍스-5 FPGA 디자인의 동적 전력소모는 약 30% 감소되었다. 더불어 아키텍처의 향상을 통해 순수 동적 전력소모는 90nm 기술과 비교했을 때 40~50%까지 감소되었다.(참고: 동적 전력소모는 FPGA 코어의 온도와 프로세서가 크게 변하지 않으면 VCCINT의 제곱으로 변화한다.)

FPGA 전력분석 툴

자일링스는 2가지 종류의 전력 분석 툴을 제공한다. 첫 번째로 XPE(XPower Estimator) 스프레드시트 툴이 있는데, 이는 디자이너가 구현 툴을 적용하기 전에 사용할 수 있다. 두 번째 툴은 XPower Analyzer로 디자인을 구현한 후에 어떻게 전력 소모가 변했는지 체크하기 위해 사용된다.

XPower Estimator는 스프레드시트 환경에서 토글 레이트, 로딩 등과 같은 FPGA 상의 리소스 활용에 대한 유저 정의에 기반하여 빠르게 전력을 예측할 수 있다. 이 툴은 전원공급장치 및 레귤레이터 뿐만 아니라 시스템을 위한 어떠한 쿨링 솔루션(히트 싱크, 팬 등)을 선택할 지 최초의 전력 평가에 사용할 수 있다.

마이크로소프트의 액셀 기반 툴을 통해 시스템 설계자는 디바이스, 디자인 및 시스템에 기반한 전력을 결정할 수 있다. 디자이너는 간단하게 리소스 활용, 동작 환경, 클럭 및 토글 레이트 등과 같은 추정된 디자인 요소들을 입력하면 된다. 그러면 XPE는 해당 디자인에 따라 추정되는 전력을 산정하고, 총 전력소모 및 최대 접합 온도뿐만 아니라 레일-기반 및 블록-기반 전력을 알려준다.

추정 동작을 시작하는데 있어 툴의 프로세스 기능은 중요한 요소이다. 이는 여러 블록에 따라 일반적인 혹은 최악의 경우에 해당하는 전력 소모를 알려준다. 우선 VCCINT 공급전압 상의 누설전류로 인한 정적 전력소모는 프로세스에 따라 크게 좌우된다. 한편 VSS(Voltage Source Summary)는 전압 변동이 전력 소모에 미치는 영향을 빠르게 파악할 수 있도록 도와준다. 이는 모든 코어 로직을 대표하는 하나의 공급전압 VCCINT와의 연관성을 이해하는데 특히 중요하다. XPE 툴에서 프로세스 변동과 전압 변동을 모두 선택함으로써 최악의 경우에 해당하는 공급전압의 크기를 적절하게 결정할 수 있다.

XPE의 또 다른 유용한 기능 중 하나는 TIS(Thermal Information/Summary)로, 히트 싱크, PCB 속성과 온도 정보 등을 지정할 수 있다. 이를 통해 설계 시 상업용 등급 혹은 산업용 등급 디바이스에 걸맞는 열 규격을 충족시킬 수 있다. 한편 BS(Block Summary)는 각 블록에 대한 전력을 나타내며, PS(Power Summary)는 정지 및 동적 전력의 총량을 보여준다.

XPE 툴에 있는 각각의 탭을 이용해 클럭, 로직, I/O, 블록 RAM, PLL, DSP 등과 같은 해당 리소스 타입에 대한 유틸라이제이션 및 토글 레이트를 입력할 수 있다.

마지막으로 XPE의 그래프 탭과 시트는 기능 및 프로세스, 전압, 온도 변동에 따른 전력을 그래픽 기능을 통해 보여준다. 특히 기능 그래프에 따른 전력은 각각의 특성을 열거하고 해당 전력소모를 보여주기 때문에 특성을 확인할 수 있어 최적화를 통한 최상의 이점을 얻을 수 있다.

두 번째 자일링스 전력 분석 툴인 XPower Analyzer는 구현하는 동안에 추출한 정확한 리소스 정보를 기반으로 보다 더 정확하게 전력 오류를 판단하게 된다. 테스트 및 시뮬레이션 벡터를 툴에 제공할 수도 있으며, 벡터가 없는 상태에서도 전력 평가를 수행할 수 있다. 이 툴은 FPGA 디자인 내의 물리적 리소스들을 위한 특성화된 커패시턴스 데이터를 사용한다.

XPower Analyzer는 자일링스의 ISE(Integrated Software Environment)와 연결되어 있으며, 몇몇 내부 자일링스 파일 포맷을 통해 포스트-P&R 정보를 받아들일 수 있다. 또한 업계 표준 VCD(Value Change Dump)와 SAIF(Switching Activity Interchange Format) 파일을 처리할 수 있다.

VCD 혹은 SAIF 포맷 중 하나를 사용할 경우, 대표적인 시뮬레이션 벡터를 생성해야 하며, 이를 통해 툴은 시스템의 노드별 토글 레이트를 기록할 수 있어 이후 데이터 액세스가 가능하게 된다. 이러한 시뮬레이션 파일이 없을 때에는 XPower Analyzer 툴을 이용해 벡터가 없는 상태에서 시뮬레이션을 수행할 수 있다. 이러한 종류의 시뮬레이션은 실제 디자인 로직의 전 영역으로 토글 레이트를 확산시키기 위해 수학적 연산 및 통계적 모델링을 사용한다. 그 다음 디자인 상의 각 노드별 토글 레이트를 포함하는 결과를 생성하게 된다.

벡터 기반(VCD 및 SAIF) 파일과 벡터가 없는 경우 모두 XPower는 P&R 디자인의 물리적 커넥티비티와 정확한 리소스 사용을 고려한다. 이 툴은 해당 토글 레이트에서 각 블록의 개별적인 동적 전력 소모와 함께 물리적 리소스를 위해 특성화된 커패시턴스 데이터로 각 노드별 토글 레이트 혹은 동작을 교차해서 참조하게 된다. 그림 3에 나타낸 결과에는 총 전력과 최대 접합 온도, 그리고 레일-기반, 블록-기반, 계층-기반 전력 리포팅이 포함되어 있다.

 그림 3. 자일리스 XPower Analyzer 요약 페이지

그림 3. 자일리스 XPower Analyzer 요약 페이지

XPower는 디자인 상에서 전력이 소모되는 위치를 상세하게 파악할 수 있도록 도와주며, ‘what if’ 분석으로 간단한 것에서부터 아키텍처 재조정에 이르는 최적화 작업을 통해 어떤 블록이 최상의 결과를 얻을 수 있는지를 보다 정확한 정보를 기반으로 선택할 수 있도록 한다. 또한 XPower는 해당 디자인의 실제 전력 사양을 문서화할 수 있으며, 이러한 정보를 보드 레벨에 적용할 수 있다.

 그림 4. T_DCI를 이용해 메모리 읽기, 메모리 쓰기가 이뤄지는 동안에 보여지는 FPGA 핀

그림 4. T_DCI를 이용해 메모리 읽기, 메모리 쓰기가 이뤄지는 동안에 보여지는 FPGA 핀

그림 4 안의 설명
최상의 SI(Signal Integrity), 하지만 입력 DC 터미네이션 전력은 메모리 쓰기가 이뤄지는 동안에 제거된다.

FPGA 설계 기법으로 전력소모 절감

프로세스가 65nm로 진화하면서 버텍스-5는 기본적인 동적 전력소모 절감의 이득을 얻을 수 있었으며, 또한 새로운 툴과 트릭, 기법을 이용해 보다 많은 전력절감을 실현할 수 있다.

전력소모를 줄일 수 있는 한가지 방법은 디자인에 적합한 FPGA를 선택하는 것이며, 그 다음으로는 보다 더 디자인에 최적화된 전력소모를 구현하기 위해 프로그래머블 특성을 활용하는 것이다. 디자인 선택은 정적 및 동적 전력소모에 모두 영향을 미친다.

누설전류로 인한 정적 전력소모는 로직의 양과 비례하며, 트랜지스터 수는 해당 FPGA를 구성하는데 사용된다. 따라서 사용하는 FPGA 리소스의 수를 줄이면 보다 작은 디바이스에 디자인을 구현할 수 있으며, 결과적으로 누설전류를 낮출 수 있다. 그림 5는 보다 작은 디바이스를 사용할 경우의 효과를 보여주고 있다.

 그림 5. 부품의 크기 감소로 정적 전력소모의 감소

그림 5. 부품의 크기 감소로 정적 전력소모의 감소

디자인 크기를 줄이기 위해 여러 가지 기법을 사용할 수 있다. 먼저 FPGA 내에 하나의 데이터를 시간적으로 2번 연속으로 처리해야 하는 경우에는 2개의 기능 블록을 구현하는 하는 것이 아니라 기능 블록을 하나만 만들고 처리 속도를 2배로 올려서 처리한다면 2개의 기능 블록 대신 하나의 기능 블록으로 대치할 수 있게 된다. 이런 기법을 타임 슬라이스라고 한다.

어느 한 순간에 하나의 기능만이 필요하다면 필요할 때마다 새로운 기능으로 해당 블록을 바꾸는 것이다.

또한 제한없이 리소스를 이용할 수 있는 기능을 선택할 수도 있다. 예를 들어, BRAM을 위한 스테이트 머신 혹은 DSP48(자일링스 멀티플라이, 애드, DSP 블록)의 카운터, 쉬프트 레지스터 로직의 레지스터, LUT RAM의 BRAM 등이 있다. 이와 함께 무리하게 높은 constraint를 적용하게 되면 로직과 레지스터가 중복될 수 있으므로 이러한 constraint는 피해야 한다.

한편 FPGA 아키텍처 안에 구현되어 있는 하드 IP 블록(BRAM, DSP, FIFO, 이더넷 MAC, PCI Express)의 장점을 충분히 활용할 수 있다.

정적 전력소모를 줄일 수 있는 다른 방법은 해당 디자인을 면밀하게 살펴보고 과도한 DC 소모요인을 제거하는 것이다. 종종 이질적이거나 불분명한 DCM 혹은 PLL을 가진 블록이 디자인에 사용될 수 있다. 이는 블록을 재설계하거나 이들을 제거하는 것으로 잊어버린 경우, 혹은 기존 코드로 차세대 제품을 구현할 때에 발생한다. 디자인의 탑 레벨에서 DCM 혹은 PLL을 추출해 내면 블록들이 리소스를 공유할 수 있으며, 디자인 크기 및 DC 전력을 더 감소시킬 수 있다.

메모리 블록을 현명하게 사용한다면 FPGA 디자인의 동적 전력소모를 감소시키는데 도움이 되며, 이는 곧 전반적인 전력소모에도 영향을 미친다. 동적 전력소모는 커패시턴스(면적 혹은 길이)와 주파수 함수로 이뤄지기 때문에 디자인이 블록 메모리와 액세스하는 방법과 커패시턴스와 주파수를 최적화할 수 있는 영역에 대한 검토가 필요하다.

자일링스 FPGA는 2 종류의 메모리 어레이를 가지고 있다. 18K 혹은 36K 크기로 제공되는 BRAM은 대형 메모리 블록에 최적화되어 있다. LUTRAM은 작은 단위에 최적화되어 있으며, FPGA의 LUT(Look Up Table)에 기반하고 있다. LUTRAM은 자일링스 버텍스-5 FPGA에서 64bit 단위로 사용할 수 있다.

일반적으로 이러한 2 종류 중 BRAM이 더 많은 전력을 소모한다. 동작속도는 BRAM 전력소모의 가장 큰 원인이 되며, 토글 또한 영향을 미치기는 하지만 부수적이다. 디자이너는 BRAM의 전력소모를 최소화하기 위해 몇 가지 조치를 취할 수 있는데, 예를 들어, BRAM을 읽고 쓰는 활성 사이클 동안에만 동작시키는 것이다. 또한 BRAM은 보다 큰 메모리 블록을 위해 남겨두고 작은 메모리 블록을 위해서는 BRAM 대신 반드시 LUTRAM을 사용하는 것이다. 더불어 다중 대형 블록에도 BRAM을 사용하도록 한다.

또 다른 기법은 공간을 최소화하고 성능을 최대화하거나 전력소모를 최소화하기 위해 메모리 어레이를 조정하는 것이다. 그림 6은 속도와 공간에 최적화된 2K x 36bit 스토리지 어레이를 보여주고 있다. 이것은 4개의 2K x 9bit 블록을 이용해 병렬로 구성한 것으로 새로운 값이 필요할 때 4개의 블록이 모두 항상 동작된다. 또한 4개의 512 x 36bit 블록을 조합하여 2K x 36bit를 다르게 구성할 수도 있는데, 512 x 36bit 블록이 액세스 되도록 선택하기 위해서는 더 낮은 2개의 어드레스 비트가 디코딩된다. 후자의 경우, 메모리 블록을 하나 이상 동시에 액세스하지 않음으로 첫 번째 경우와 비교해 전력소모를 75%까지 절감할 수 있다.

 그림 6. 속도 및 공간 대비 전력 최적화 메모리 어레이(왼쪽), 그리고 전력 대비 공간선택을 통한 자일링스 블록 메모리 제너레이터(Block Memory Generator)

그림 6. 속도 및 공간 대비 전력 최적화 메모리 어레이(왼쪽), 그리고 전력 대비 공간선택을 통한 자일링스 블록 메모리 제너레이터(Block Memory Generator)

그림 6의 오른쪽은 자일링스의 BMG(Block Memory Generator)를 보여주고 있다. 이 툴은 속도나 전력에 맞게 최적화하거나 메모리 어레이 사이즈를 자유롭게 구현할 수 있도록 도와준다. 그림 7은 이러한 케이스를 위한 자일링스의 Power Estimator로 주어진 동작속도로 구동하는 N 블록과 N/4의 동작 속도를 가진 N 블록 간의 전력소모를 비교한 것이다. 결과는 동적 전력소모를 75% 절감할 수 있는 것으로 나타났다.

 그림 7. XPE를 통한 전력 최적화 어레이 결과

그림 7. XPE를 통한 전력 최적화 어레이 결과

자일링스 툴은 작업에 가장 적합한 메모리 어레이를 선택할 수 있도록 도와준다. 디자인에 필요한 2 세트의 메모리 스토리지 공간을 고려하게 된다. 첫 번째 경우, 300MHz에서 동작하는 16 세트의 64 x 32bit 메모리 구조(총 비트 수는 32K)가 필요하다. 다른 경우에는 16 세트의 512 x 36bit 메모리 구조(총 비트수는 294K)가 필요하다.

XPE 툴을 이용해 16 세트의 64 x 32bit 메모리 구조의 전력을 비교해 보면, 작은 메모리 어레이는 LUTRAM에서 구현되는 것이 최상이라는 것을 알 수 있다.(그림 8) BRAM에 구현하는 것보다 85%의 전력을 절감할 수 있다. 이는 BRAM으로 인해 상당한 공간을 낭비하고 있기 때문이며, 16개의 매우 작은(64 x 32bit) 메모리를 얻기 위해 16개의 18Kbit 블록을 비효율적으로 사용하고 있는 것이다.

 그림 8. BlockRAM 혹은 LUTRAM을 이용한 소형 메모리의 전력 예측

그림 8. BlockRAM 혹은 LUTRAM을 이용한 소형 메모리의 전력 예측

두 번째 경우에 해당하는 16 세트의 18Kbit 어레이의 전력을 XPE 툴을 이용해 비교해 보면, 대형 메모리 어레이와 상반된다는 것을 알 수 있다.(그림 9) LUTRAM 대신에 BRAM에 이를 구현하게 되면 28%의 전력소모가 줄어들며, 많은 작은 단위의 대상들이 동작되거나 인터커넥트가 필요한 것으로 간주된다.

 그림 9. LUTRAM 대비 BlockRAM을 이용한 대형 메모리의 전력 예측

그림 9. LUTRAM 대비 BlockRAM을 이용한 대형 메모리의 전력 예측

또한 자일링스 FPGA는 클럭 게이팅 분야에서 몇 가지 흥미로운 기능을 가지고 있다. 예를 들어, FPGA의 글로벌 클럭을 차단하거나 보다 느린 클럭을 동적으로 선택하기 위해 BUFGMUX 클럭 버퍼를 사용할 수 있다. 또한 디자이너들이 ASIC 디자인에 사용하는 사이클-게이팅 기법과 어떤 면에서는 매우 유사한 사이클-바이-사이클 클럭 게이팅을 실행하기 위해 BUFGCE 클럭 버퍼를 사용할 수 있다.

이러한 두 가지 기능을 모두 고려해 보는 것이 좋다. 특히 사용하지는 않지만 전력소모에 영향을 미치는 특정 블록을 가진 디자인에 매우 유용하다. 이러한 경우, 사이클-바이-클럭-사이클 기반의 클럭이거나 혹은 수많은 클럭 사이클에서 수천개의 클럭이 로드하는 매우 큰 클럭 도메인을 차단할 수 있다.

또한 글리치 에너지를 줄임으로써 동적 전력 소모를 억제시킬 수 있다. 로직 및 레지스터 조합을 포함하고 있는 디자인의 경우, 가끔 다양한 입력들이 로직 조합 블록으로 약간씩 다른 시간에 도착하면서, 다른 구조에도 파급되거나 전력을 낭비할 수 있는 글리치가 잠시 상주하게 된다.(그림 10 참조)

 그림 10. 플립-플롭을 삽입하여 글리치 확대 및 블록킹

그림 10. 플립-플롭을 삽입하여 글리치 확대 및 블록킹

보드 레벨에서의 전력 감소

PCB 디자이너와 기계 엔지니어, 시스템 설계자는 FPGA의 전력소모를 절감하기 위해 보드 레벨에서 몇 가지를 고려해야 한다. FPGA의 코어 전압과 접합 온도는 모두 여러 부품의 전력소모에 많은 영향을 미친다.

VCCINT 코어 전압을 제어하는 것도 보드 레벨에서 전력소모를 줄일 수 있는 하나의 방법이다. 누설전류로 인한 정적 전력 및 동적 전력 모두 FPGA의 코어 전압에 크게 좌우된다.

따라서 누설전류를 줄일 수 있는 한가지 방법은 버텍스-5의 하이엔드 동작전압(1.05V = +5%) 보다는 공칭전압(1V)에 가깝게 코어 전압을 설정하는 것이다. 최신 스위칭 레귤레이터를 이용하면 전압 허용범위 ±1.5% 대 ±5% 사양을 달성할 수 있다. 최대 1.05V 세팅 전압 보다 1V의 공칭전압으로 코어 전압을 유지함으로써 동적 전력소모는 10%까지, 정적 전력소모는 15%까지 줄일 수 있다.

또한 접합 온도를 제어함으로써 전력소모를 줄일 수 있다. 해당 디자인 상의 FPGA, PCB, 히트싱크, 주변온도, 기류, FPGA 전력 등과 같은 발열속성은 FPGA의 접합 온도에 모두 영향을 미친다.

FPGA의 접합온도를 줄일 수 있는 간단하면서도 다소 명확한 방법은 보다 열 효율적인 PCB나 히트싱크를 사용하는 것이다. 그러면 FPGA 디자이너가 어떤 변경을 하지 않더라도 추가적으로 전력소모를 줄일 수 있다. 100°C와 같은 높은 접합 온도에서 15°C 감소는 누설전류로 인한 정적 전력소모를 20%까지 줄일 수 있다.

전력소모를 줄이는 또 다른 방법은 FPGA에서 온도 및 전압을 모니터하는 것이다. 버텍스-5 FPGA는 시스템 모니터(System Monitor)라는 아날로그 블록을 가지고 있는데, 외부 및 내부 아날로그 전압과 다이 온도를 모니터링할 수 있다. 시스템 모니터는 10bit A/D 컨버터 주변을 감싸고 있는데, 40°C에서 +125°C의 온도범위에 걸쳐 정확하면서 신뢰할 만한 결과를 제공할 수 있다. A/D 컨버터는 온칩 센서의 출력을 디지털화하는데, 이를 시스템 성능에 대한 환경적 영향을 체크하기 위해 최대 17개의 외부 아날로그 입력에 사용할 수 있다.

이 블록은 경계값과 경고레벨을 컨피규레이션할 수 있으며, 유저 로직이나 마이크로프로세서와 쉽게 인터페이스가 가능한 컨피규러블 레지스터에 측정결과를 저장한다. 또한 FPGA가 컨피규레이션 되기 앞서 시동 할 때에, 혹은 JTAG 포트를 통해 그 값을 읽을 수 있다.

코어 전압의 전력이 트랜지스터 개선, 감소된 커패시턴스, 보다 낮은 전압을 통해 낮아짐에 따라 I/O 전력은 성능과 전력 간의 조율에 있어 중요하게 고려해야 할 또 다른 요소가 된다. 또한 현명하게 I/O를 선택함으로써 전반적인 전력 소모를 줄일 수 있다. 이를 위해서는 각 FPGA 디자인의 I/O 인터페이스 요건을 고려해야만 한다. 예를 들어, 메모리 인터페이스(DDR2, QDR, RLDRAM 등)는 SI(Signal Integrity)를 위해 FPGA 내부 터미네이션이 요구될 수 있지만, 일반적으로는 더 많은 전력을 소모하고, 접합 온도가 올라가게 될 것이다.

반면 FPGA와 ASIC/ASSP의 인터페이스의 경우, ASIC/ASSP가 타깃하고 있는 사양(LVDS, HSTL 등)에 기반해서 인터페이스를 선택해야만 한다. 만약 FPGA와 또 다른 FPGA와의 인터페이스의 경우에는 요구되는 디자인 성능에 따라 인터페이스를 선택할 수 있으며, 보다 손쉽게 전력 최적화 방법을 찾을 수 있을 것이다.

한편 입출력 모두 전력을 소모하는데, LVDS, HSTL, SSTL과 같은 표준이 가장 많이 소모한다는 것을 알 수 있다. 출력의 경우 보다 강력한 성능의 표준이 가장 많은 전력을 소모하며, 전력은 출력 인에이블 레이트와 토글 레이트에 따라 선형적으로 변동한다. 하지만 LVDS는 토글 레이트가 독립적인 고정 전류 소스에 기반하고 있기 때문에 예외이다.

입력의 경우, 참조된 표준들이 상당한 양의 전력을 소모하는데, 수신 구조가 차동 리시버를 통합하고 있는데다, 선택 가능한 내부 터미네이션을 포함하고 있기 때문이다. 이들 모두 DC 전력을 소모한다.

버텍스-5에서 제공되는 T_DCI(동적으로 3-statable 디지털로 제어된 임피던스)라는 기능은 해당 I/O 패드가 출력으로 사용될 때 사용자가 터미네이션을 동적으로 제거할 수 있다. 이는 데이터 버스나 메모리 인터페이스에 매우 유용하고, 읽기 대 쓰기 비율에 따라 좌우되며, 적정하게 전력량을 제어할 수 있다.(그림 4 참조)

현명한 I/O 인터페이스 선택은 성능과 전력의 조화를 고려하는 것이 중요하다. 설계에서 반드시 최상의 성능 및 최소 노이즈를 요구하거나 타깃 디바이스가 I/O 표준을 필요로 한다면 LVDS와 같은 인터페이스를 사용하는 것이 좋다.

터미네이션이 일반적으로 많은 양의 전력을 소모하기 때문에 이를 현명하게 사용해야만 하며, 성능 대비 전력 조건을 고려해야 한다. 설계에 외부 터미네이션을 사용하거나 혹은 터미네이션을 사용하지 않고 전력을 획기적으로 줄일 수 있다.

과거와 현재, 미래

전력관리가 중요한 이슈로 부각되기 시작한 당시부터 자일링스는 ISE 개발 툴 전반에 걸쳐 전력-최적화 기술을 구현하기 위해 부단히 노력해 왔다. 예를 들어, XPE, XPower Analyzer 출시와 더불어 자일링스는 수년 전에 FPGA 내부 라우팅 리소스의 이미 알려진 커패시턴스를 기반으로 동작하는 전력-최적화 라우터를 ISE를 통해 제공한 바 있다.

또한 ISE의 전력 최적화 합성 엔진을 실행하여 자동으로 소스 코드 내에 있는 작은 어레이들을 지정하고 이를 LUTRAM에 합성할 수 있다. 명령어에 따라 엔진은 대형 어레이(직접 지정한 크기)를 찾아내어 이를 BlockRAM에 합성한다. 만약 대형 카운터를 찾는다면, 이를 DSP48 블록에 구현할 수 있다. 또한 이는 최적의 양으로만 로직을 구현하기 위해 복제를 하는 경우 매우 현명한 선택이 될 수 있다.

보다 최근에 자일링스는 라우팅 길이와 커패시턴스를 최소하기 위해 기능을 함께 그룹화하는 최적화 배치툴을 발표했다. 이와 관련된 툴 세트가 PlanAhead™로서, 로직의 계층적 그룹화가 가능하며, 이들을 FPGA 내의 미개발된 공간 안에 물리적으로 배치할 수 있다. 이는 커패시턴스를 줄이고 라우팅 시간을 향상시키는데에도 도움이 된다.

자일링스가 선구적으로 최신 프로세스 노드 기술을 개척해 나감에 따라 동적 및 정적 전력소모에 대한 문제는 계속해서 제기될 것으로 예상된다. 하지만 동시에 자일링스는 전력관리 방법 및 툴 최적화를 위해 부단히 노력하고 있을 뿐만 아니라 초기 실리콘에서 전력문제를 해결하기 위해 힘을 모으고 있다. 자일링스의 전력관리에 대한 보다 자세한 정보는 www.xilinx.com/power에서 제공된다.

본 기사는 에 있는 전자 엔지니어 기사에서 인쇄한 것입니다:
http://www.eetkorea.com/ART_8800573768_839575_NT_78736230.HTM

Altera Stratix IV User Guide Lite

May 27, 2009

By Laiq Chughtai, Altera Corp.

What is the purpose of this paper?
This paper provides potential users an easily read and easy-to-understand overview of the capabilities of the device functions of Altera's Stratix IV FPGAs. It succinctly describes the feature set, the architecture innovations, and the process techniques that when combined make the Stratix IV FPGA the industry leader in both power and performance. Further, the article describes the functionality of these devices in far more detail than in the data sheet, but avoids the minute implementation details covered in the Stratix IV FPGA Device Handbook.

Designers contemplating designing with Stratix IV FPGAs may face a hurdle or two. The data sheet provides a very condensed overview of the complete device family, but does not describe the capabilities in enough detail. By comparison, the Device Handbook provides all the details that the designer needs, but — at 1,200+ pages — it probably will require several weeks of work to read and understand all of the details.

This paper describes the capabilities (what you can do) in detail, but leaves out the implementation details (how to utilize the capabilities). The idea is to give the designer enough information to evaluate the capabilities, without requiring weeks of study. Altera believes that system architects and designers who are in the early stages of FPGA device planning and evaluation will find the information presented here to be a valuable source of information before beginning a Stratix IV design.

Stratix IV Product Overview

Gigabit Transceivers
Stratix IV GT devices provide up to 24 transceivers supporting 9.95 to 11.3 Gbps, with a Physical Coding Sub-layer (PCS). Up to 8 additional transceivers are available supporting 2.5 to 8.5 Gbps, with PCS. Additionally, up to 16 additional transceivers are available supporting 2.5 to 6.5 Gbps, without PCS. The Stratix IV GT transceivers eliminate the need for an external 10G PHY device and thus enable the preferred implementation of 802.3ba (40G/100G) recommended configuration.

Stratix IV GX devices provide up to 32 full-duplex CDR-based transceivers with PCS, PMA and PCI Express Hard IP blocks (Figure 1). These transceivers support serial data rates between 600 Mbps and 8.5 Gbps. Additionally, up to 16 full-duplex CDR-based transceivers, supporting serial data rates between 600 Mbps and 6.5 Gbps are provided.


Click on image to enlarge.

Figure 1. Stratix IV GX Transceiver Block Diagram

Stratix IV transceivers support the stringent jitter requirements of protocols such as PCI Express Gen II and CEI-6G for Interlaken implementation. In addition they support PCI Express Gen 1; XAUI (3.125 Gbps to 3.75 Gbps for HiGig support); GIGE (1.25Gbps); Serial RapidIO' (up to 3.125 Gbps); SONET/SDH up to OC-96 and both HD and 3G Serial Digital Interface. The transceiver channels also support basic single-width (600 Mbps to 3.75 Gbps) and basic double-width (1 Gbps to 8.5 Gbps) flexible functional modes to implement proprietary protocols.

The Stratix IV GX transceivers are structured into full-duplex (Transmitter and Receiver) six-channel groups called transceiver blocks that vary in count from device to device. Channels can be dynamically reprogrammed to support multiple protocols and data rates without disturbing the operation of any other part of the FPGA. Each transceiver has dynamically programmable differential output voltage (VOD) and pre-emphasis settings for improved signal integrity. To compensate for frequency-dependent losses in the physical medium, each transceiver supports adaptive 4-stage receiver equalization with up to 17dB of gain. In addition, selectable on-chip termination resistors help improve signal integrity on a variety of transmission media.

The programmable transceiver-to-FPGA interface supports data transfers in a wide variety of widths from 8 to 40 bits. Receiver rate-matching FIFO buffers resynchronize the received data with the local reference clock while phase compensation FIFO buffers perform clock domain translation between the transceiver block and the logic array.

PCI Express Hard IP
Stratix IV GX devices support PCI Express Gen1 and Gen2 protocols in x1, x4 and x8 configurations. This support is enabled by up to 4 PCI Express hard IP blocks that embed all layers of the PCI Express protocol stack including the transceiver modules, physical layer, data link layer, and transaction layer (see Figure 2) . Hard implementation enables fast compile times and high performance. It frees up resources within the FPGA fabric for user logic and eliminates the cost of soft-IP.


Click on image to enlarge.

Figure 2. PCI-Express Hard IP Block Diagram

Each PCI Express Hard IP block is compliant with both Rev. 1.1 and Rev. 2.0 specifications of the PCI-SIG and supports both endpoint and root port functionality with user datapath width of 128-bits (x8, x4) and 64-bits (x8, x4, x2, x1). The transaction layer interface supports two virtual channels, single function, and vendor defined message pass-through. Each hard IP block supports all PCI Express memory, I/O, configuration, and message transactions with 64 outstanding request message tags; configurable maximum payload size up to 2,048 bytes; a maximum read request size up to 4,096 bytes; retry buffer size of 16 Kbytes; and configurable receive buffer size of 16 Kbytes per virtual channel.

Each block supports configuration space registers included with the transaction layer and serial read/write access for reconfiguration of initial core parameters. The non-intrusive local management interface provides access to configuration space in endpoint mode. Up to 32 message-signaled interrupts and 2048 MSI-X are configurable. Other configurability options include completion timeout control and capabilities registers and up to 6 base address registers plus expansion ROM.

To assist in system debug, each block includes a synchronous status and debug interface that provides access to critical test signals. Error reporting features include ECRC generation, and reporting and handling of surprise down errors, receiver overflow errors, completer abort errors and flow control protocol errors. Power management features include all power states (emulate D1, D2, and L2), software-initiated link power management, legacy PCI power management support, native active state power management support and block level power down when not in use.

Differential and Single Ended IO
Stratix IV FPGAs FPGA support up to 132 full-duplex, DC-coupled LVDS channels on the side I/O banks, each performing at up to 1.6 Gbps. 288 additional pseudo-LVDS channels are provided on top and bottom I/O banks. Stratix IV FPGA LVDS channels support interface standards such as SPI-4.2, SFI-4, SGMII, Utopia IV, 10 GbE XSBI, the RapidIO standard, and SerialLite II. The Stratix IV FPGA LVDS features include hard DPA block with serializer/deserializer (SERDES) and clock-forwarding capability for soft-CDR; programmable pre-emphasis and voltage output differential Voltage Output Differential (VOD) and differential On-Chip termination (OCT).

Stratix IV devices support up to 1104 single-ended user I/Os, which includes key features such as programmable slew rate and drive strength. Variable delay chains on inputs and outputs compensate for board trace mismatch, while each IO supports both serial and parallel dynamic OCT.

Stratix IV FPGAs include signal integrity I/O features like an 8:1:1 user I/O to power/ground ratio, signal return path optimization, staggered output delay control, and on-die/on-package de-coupling capacitance.

DDR Memory Interface
All banks on each Stratix IV FPGA support Double Data Rate (DDR) memory interface.The top and bottom I/O banks support data rates up to 1067 Mbps while the side I/O banks support data rates up to 667 Mbps. DDR support is enabled by up to 31 hard I/O registers behind each DQ pin; up to 4 Delay Lock Loop (DLL) circuits that dynamically control the clock delay needed by the DQS/CQ and CQn pin and compensate for PVT variations; read and write leveling circuitry to resynchronize CK and DQS signal timing for DDR3 interfaces and dynamic OCT.

The ALTMEMPHY megafunction, a part of the Quartus II design software, creates the datapath between the memory device and the memory controller and user logic in the Stratix IV FPGA. The GUI helps the user configure multiple variations of a memory datapath and interface including DDR3, DDR2, DDR SDRAM, and QDRII+/QDRII SRAM interfaces.

Power Management
Stratix IV FPGAs were designed with specific process, architectural, and system design features to address power concerns at the 40-nm process node.

On the process side, Stratix IV FPGAs benefit from multi-threshold, variable gate-length transistors to optimize power consumption against transistor function. Low-k inter-metal dielectric reduces cross talk, while three different gate oxide thicknesses ensure leakage reduction in transistors whose performance is not-critical. In addition, strained silicon helps improve channel mobility to enhance performance.

Considering the device architecture, Altera's Programmable Power Technology makes substrate bias voltage of transistors within each logic block programmable. This means that the substrate bias voltage can be adjusted to reduce power or increase performance. Without requiring specific designer input, Quartus II design software automatically sets individual logic blocks in high-performance state if they are in a timing critical path, while leaving all other logic blocks in low power state. This translates to higher performance without the power consumption penalty.

On the interface side, Stratix IV FPGAs support DDR3 at 1.5V that helps reduce memory interface power consumption compared with the older DDR2 memory interface. Additionally, series and parallel OCT is dynamically turned on and off during data transfers to further reduce interface power.

Altera's PowerPlay Power Analysis technology enables power estimation at each stage of the design flow. The Early Power Estimator uses early estimates of device resource usage, clock frequencies and toggle rates as input by the designer to provide a gross estimate of the power requirements of a design. This estimate is progressively refined as a design is compiled and simulated. By using simulation inputs, the Quartus II software reports a detailed power estimate using actual fitter results, timing constraints, and chip interface settings (Figure 3).


Click on image to enlarge.

Figure 3. Quartus II Power Optimizing Compilation Flow

Project level settings direct the Quartus II design software to compile for the lowest power, the highest performance or the smallest area. To minimize power consumption, Quartus II automatically performs various power optimizations including powering down unused clock nets as well as memory, DSP and LAB blocks.

Logic Fabric
All variants of the Stratix IV device family use the same logic fabric. The basic unit of logic is the Adaptive Logic Module (ALM). To optimally utilize silicon area, the ALM offers enhanced flexibility compared with the traditional 4-input look-up table. In addition to the look-up tables (LUTs), each ALM contains two programmable registers with data, clock, enable, synchronous and asynchronous clear inputs, two dedicated full adders, a carry chain, a shared arithmetic chain and a register chain as shown in Figure 4. Ten ALM blocks stacked vertically form a Logic Array Block (LAB). Each ALM can drive any of the available types of local and global interconnects.


Click on image to enlarge.

Figure 4. Stratix IV ALM Block Diagram

Depending on the area-performance settings selected by the designer, the Quartus II design software can engage an ALM in either Normal mode, Extended LUT Mode, Arithmetic Mode, Shared Arithmetic Mode or LUT-Register Mode (see Figure 5). In Normal mode the ALM can implement either a single 6-input function or two functions with varying numbers of shared inputs between them. The 7-input Extended LUT mode efficiently implements "if-else" code structures. It consists of two 5-input functions with four shared inputs and a select input that propagates one of these function outputs forward.


Click on image to enlarge.

Figure 5. Summary of ALM Configurations

The Arithmetic Mode uses the dedicated full adders in combination with the look-up tables to efficiently implement adders, counters, accumulators, wide parity functions, and comparators. The dedicated adders allow the LUTs to be available to perform pre-adder logic; therefore, each adder can add the output of 2 four-input functions with carry-in. The carry chain provides a fast carry function between the dedicated adders.

In Shared Arithmetic Mode, the ALM can implement three-input addition within an ALM. The ALM is configured with 4, four-input LUTs, each of which either computes the sum of three inputs or the carry of three inputs. The output of the carry computation is fed to the next adder using a dedicated shared arithmetic chain. This shared arithmetic chain reduces the number of summation stages required to implement an adder tree, thus improving performance.

In LUT-Register Mode, two internal feedback loops stitch together the LUT resources to implement a master-slave latch that forms the core of a third register within the ALM. This LUT register shares its clock, clock enable, and asynchronous clear sources with the top dedicated register.

Clock Distribution Network
To enable effective implementation of designs for diverse applications, Stratix IV devices can support up to 104 distinct clock domains, each capable of supporting clock rates of 600 MHz. These clock domains are enabled by a hierarchical clock distribution structure consisting of 16 dedicated global clock networks (GCLKs), up to 88 regional clock networks (RCLKs), and 132 periphery clock networks (PCLKs). These clock networks can be driven by up to 71 unique clock sources per device quadrant. Stratix IV devices have up to 32 dedicated single-ended clock pins or 16 dedicated differential clock pins distributed evenly on all sides that can each drive 4 GCLK or RCLK networks. GCLK and RCLK networks can also be driven by PLL outputs and internal logic. Clock sources for PCLK networks include clock outputs from the DPA block, PLD-Transceiver interface clocks, horizontal I/O pins, and internal logic.

Each GCLK and RCLK has its own clock control block that supports static clock source selection for RCLK networks, glitch-free dynamic source selection for GCLK networks, global clock multiplexing, and clock power down including dynamic clock enable or disable.

Phase Lock Loops
Each Stratix IV device includes up to 12 PLLs. The VCO at the heart of each PLL operates from 600 MHz to 1300 MHz. The ref clock input to each PLL comes from either 4 dedicated clock input pins or other PLLs using either the GCLK and RCLK networks or dedicated connections between adjacent PLLs. Stratix IV PLLs can track spread-spectrum frequency variation in input clocks if they comply with input clock jitter specification.

Each PLL has either 7 or 10 output counters that can drive up to 4 GCLK and 20 RCLK networks and up to 6 single-ended output pins, two of which can be configured as a differential pair. In addition, the side PLLs drive the Digital Phase Alignment circuitry to support DDR memory interfaces. Each PLL supports programmable duty cycle and can achieve a phase shift resolution down to 96.125 ps. The PLL can drive clock frequencies of up to 717 MHz on to an internal clock network or an external clock output.

Tri-Matrix Memory
Stratix IV devices support three types of embedded memory blocks called MLABs, M9Ks and M144Ks. With different sizes and densities, each block type is suitable for a different application role as shown in Figure 6. The Quartus II design software infers the appropriate memory block to meet a user's size and functionality requirements. Each memory block type is capable of performing at 600 MHz.


Click on image to enlarge.

Figure 6. Tri-Matrix Memory Hierarchy

With 640 bits per block, the MLAB is the smallest and most pervasive memory type. Half of the Logic Array Blocks (LABs) in the device can be used to implement MLAB memory blocks. At 20 bits wide and 32 deep, the MLAB is suitable for implementing small shift registers, FIFO buffers, and filter delay lines.

Each M9K is a discrete memory block with a maximum data width of 36 bits and a depth of 256 addresses. The block data width and depth is configurable and Quartus II design software can instantiate multiple blocks to implement wider and/or deeper memories.

The M144K is the largest discrete embedded memory block type. It supports various width and depth configurations up to 72 wide x 2048 deep. With its size, the M144K is suitable for applications like processor code storage, packet buffers, and video frame buffers etc. It includes Single Error Correct, Multiple Error Detect (SECDED) circuitry to detect and correct soft errors.

The M9K and M144K are true-dual port memory blocks that support simultaneous read and write from both ports to the same address. In simultaneous operation, the read can be configured to provide old data or new data as long as both ports use the same clock. Other features include pre-initialization/ROM mode, mixed clocking, byte enables, and address clock enables.

DSP Blocks
To efficiently implement the digital signal processing requirements of such complex systems as WiMAX, 3GPP WCDMA, high-performance computing (HPC), voice over Internet protocol (VoIP), H.264 video compression, medical imaging, and HDTV, Stratix IV devices feature programmable digital signal processing (DSP) blocks. Each block provides eight 18 x 18 multipliers, registers, adders, subtractors, accumulators, and summation unit-functions that are frequently required in typical DSP algorithms (see Figure 7). The total 18 x 18 multipliers range from 384 in the smallest GX device to 1360 in the largest E device.


Click on image to enlarge.

Figure 7. DSP Block Diagram

Each 18 x 18 multiplier can also support word lengths of 9 and 12-bits. Two 18 x 18 multipliers can be combined to support 36-bit word length. Each DSP block supports both single precision (24-bit) and double precision (53-bit) floating-point arithmetic formats.

Each DSP block supports completely variable bit-widths and various rounding and saturation modes to meet the requirements of various applications such as filtering, transformation, modulation, compression, scaling, and equalization. The Stratix IV DSP blocks are rated to operate at 550 MHz.

Altera's DSP Builder technology allows users to take system definition/simulation information from The MathWorks/Simulink tools and generate timing-optimized register transfer level (RTL) code that can be synthesized by the Quartus II design software. The DSP Builder Signal Compiler reads Simulink Model Files (.mdl) that are built using DSP Builder and MegaCore' blocks and generates VHDL files and tool command language (Tcl) scripts for synthesis, hardware implementation, and simulation.

Configuration
Stratix IV devices use SRAM cells to store configuration data. The volatile SRAM memory must be configured each time the device powers up. Stratix IV devices can be configured using fast passive parallel (FPP), fast active serial (AS), passive serial (PS), or Joint Test Action Group (JTAG) configuration modes. All configuration schemes use either, an external controller (for example, a MAX' II device or microprocessor), a configuration device, or a download cable. Stratix IV configuration bit streams are compressed and can be optionally encrypted using the AES algorithm with a 256-bit security key. The bit stream is uncompressed and if necessary unencrypted within the device during device configuration. Stratix IV devices support both volatile and non-volatile storage for the encryption security key.

To detect soft errors in device configuration due to single event upset (SEU), dedicated circuitry is built into Stratix IV devices that continuously and automatically performs cyclic redundancy check (CRC) error detection.

To facilitate in-field updates to the device configuration, the Stratix IV devices include dedicated circuitry to support remote configuration updates. Soft logic (either the Nios II embedded processor or user logic) implemented in a Stratix IV device can download a new configuration image from a remote location, store it in configuration memory, and direct the dedicated remote system upgrade circuitry to initiate a reconfiguration cycle. The dedicated circuitry performs error detection during and after the configuration process, recovers from any error condition by reverting back to a safe configuration image, and provides error status information.

About Altera Stratix IV FPGAs
The Stratix IV family of FPGAs represent the fourth generation in the Stratix series. It is built upon Taiwan Semiconductor Manufacturing Company's (TSMC's) 40-nm process technology. This process utilizes 193-nm immersion lithography, extreme low-k dielectrics, variable channel lengths and oxide thicknesses, and strained silicon to enhance device performance and power efficiency.

The high-density, high-performance adaptive logic module (ALM) logic structure provides the most efficient logicfabric in any FPGA. The ALM logic structure is fully integrated in Quartus II design software to easily deliver the highest performance, highest logic utilization, and lowest compile times, as demonstrated by Stratix IV FPGAs on OpenCore designs.

The Stratix IV family includes three device variants:

Stratix IV GT FPGAs are available in 1517 and 1932 pin flip-chip packages. The Stratix IV GX devices are available in flip-chip packages with pin counts ranging from 780 to 1932 while the Stratix IV E devices are available in flip-chip packages with pin counts ranging from 780 to 1760. Stratix IV FPGAs offer vertical migration within each family variant providing flexibility in device selection. In addition, a vertical migration path exists between Stratix III and Stratix IV E devices.

The Stratix IV device family offers more than twice the resources of prior generations in almost all feature categories. They offer a highly compelling mix of features, performance, and power to meet demanding needs for the design of diverse applications. Production devices are available and shipping. They may be purchased online or through Altera distributors. Visit http://www.altera.com/products/devices/stratix-fpgas/stratix-iv/stxiv-index.jsp for complete device information.

Stratix IV FPGAs has been recognized worldwide for their technical innovation:

  • EN-Genius Network selected the 40-nm Stratix IV FPGA and HardCopy IV ASIC families as the Best High-End FPGA Family for its annual "Product of the Year" award.
  • Electronic Products China magazine selected Altera's 40-nm Stratix IV FPGAs for its "Product of the Year" award.
  • EDN Innovation Awards: Altera's Stratix IV FPGA Wins "Innovation of the Year" award and Stratix IV FPGA 40-nm Design Team Takes Home "Innovator of the Year" honors.
  • China Electronics News selected Stratix IV FPGAs as its "2008 Editor's Choice" award winner in the FPGA category. Winners of this award demonstrated a significant leap in innovation.
  • EDN named Stratix IV FPGAs to its annual list of "Hot 100 Electronic Products". This list encompasses the 100 most significant products of 2008, as determined by the magazine's editors and readers.
  • Stratix IV FPGAs received EDN China's "Leading Products Award" in the digital IC and programmable logic category. Winners of this award were chosen by a panel of technical experts and professors that selects products having the greatest impact on the electronics industry.
  • Stratix IV FPGAs received Electronic Products 2008 "Product of the Year" award in the digital ICs category. Award winners are selected by the magazine's editors on the basis of innovative design, significant advancement in technology or application and substantial achievement in price and performance.

About the Author

Laiq Chughtai
Supervisor of product engineering, Altera Corp.

Laiq Chughtai manages a team of engineers responsible for bringing Altera's leading FPGA and custom logic device families to market. He joined Altera in 1999 and has participated in the development and introduction of each FPGA product generation. His expertise covers embedded memories, semiconductor test, characterization, yield enhancement and tools development. Mr. Chughtai is currently pursuing an MBA at the Haas School of Business at UC Berkeley. He has a BS in Computer Engineering from the University of Wisconsin in Madison.

====================

출처: http://www.pldesignline.com/217700438

Programmable logic innovation is overdue

January 27, 2009

Specialized, heterogeneous logic architectures can offer designers the cost and power efficiency they seek while managing development costs and keeping their time-to-market edge.

By Jack Ogawa, Cswitch Corp

The programmable logic industry has remained stubbornly resistant to change over the past 20 years of its existence. If you follow this industry, you know that the FPGA logic fabric that is implemented in the latest offerings from Altera and Xilinx has not fundamentally changed since its commercial introduction back in the 1980's, exemplifying what Harvard Business School Professor Clayton Christensen described in his book "The Innovator's Dilemma".

In his book, Christensen presents a behavioral model where larger, successful companies have problems in discovering and nurturing "disruptive" product technologies that initially do not look attractive, but eventually prove superior.

Looking at Altera and Xilinx, you can see that their products have evolved largely due to Moore's Law, which is a "sustaining" technology in Christensen's model. In other words, the incumbent vendors have relied on process technology advancement to sustain the marketability of their products over time rather than true architectural innovation.

Now, with programmable logic markets such as carrier Ethernet, data centers, and wireless infrastructure being awakened from their post-bubble slumber by the YouTube generation, the time has come for innovation. Packet-based equipment is moving to the next level of throughput (generically referred to as bandwidth), with increasing touches per packet due to security and quality of service requirements.

Unfortunately, equipment companies who relied on programmable logic to provide the flexibility in their hardware during the heady bubble growth of the late 90's are now finding that the 20-year-old FPGA cannot meet the new challenges, even with the help of Moore's Law. Programmable logic applications are evolving, and today's FPGAs cannot service them.

How wide, how fast?
How dramatic is this problem? This sentiment received some attention from Clive Maxfield(1) when Altera announced their Stratix IV family. As noted in Maxfield's article, Altera's 40nm Stratix IV family supports a typical system clock frequency of 350 MHz "across the fabric". While this number is somewhat optimistic (100 to 200 MHz is the number most often cited by designers), it nonetheless is effective in highlighting the problem that many high-bandwidth designers face today:

"So... we have 8.5 Gbps coming in (for a single serial I/O lane). After we strip out the 8b/10b coding we're left with 8.5 / 10 * 8 = 6.8 gigabits per second. If the receiver converts this into byte-wide chunks, we now have 6.8 / 8 = 0.85 gigabytes per second."

Maxfield goes on to note:

"If all we wanted to do was load these values in to an 8-bit register we'd still need to be clocking our register at 850 MHz."

Clearly 350 MHz is much less than 850 MHz. But, you can always make your data processing logic more parallel to meet the throughput requirements, right? In fact, in Altera's case, the logic fabric interface from the SERDES is allowed to be as wide as 40-bits, since it is limited to 250 MHz(2).

So, for a 40G application, you would need 6 channels (6 * 6.8 gigabits per second) presenting a total of 240 bits of data that need to be aligned at 250 MHz as it is routed through the device. So, yes, you can spread things out, but this is a daunting timing closure challenge, to say the least.

Gate efficiency is key
Logic density is another challenge as bandwidth requirements increase. Today's programmable logic is notoriously area-inefficient, making the additional logic required to process more gigabits per second extremely expensive from a power and cost perspective. For example:

   10G Ethernet MAC = 10,370 logic elements (4,148 ALUTs(3) x 2.5(4))
   40G Ethernet MAC = 41,600 LEs (26,000 LUTs(5) x 1.6(6))
   100G Ethernet MAC = 107,200 LEs (67,000 LUTs(5) x 1.6(6))

This implies that every 10 Gbps of Ethernet data terminated requires roughly 10,000 logic elements. A protocol conversion (e.g. 100G Ethernet to Interlaken), which is a common FPGA application, doubles that requirement to 20,000 logic elements per 10Gbps. Therefore, you must consume about 80,000 logic elements simply to support the termination of protocols for a 40G application. This is an expensive proposition, especially when you consider the commonly held belief that programmable gates are 20x less area efficient that ASIC gates.

For an FPGA architect, the ostensible objective of FPGA fabric elegance is gate efficiency. Putting aside exotic process technologies in development, this basically implies embedding more "hard" gates in their architectures. Embedding increases gate density, performance, and power efficiency, which are all desirable effects.

However, the trick to embedding in any programmable device is to make the gates configurable so that they are not locked into a single function. With some creativity and proper scope, this is entirely possible. But, therein lies the "Dilemma": with every R&D dollar at the incumbent vendors being held against a return-on-investment (ROI) metric, it will take a brave soul indeed to argue for innovation that has less breadth than their current products. So, where does that leave today's logic designers?

Specialized, heterogeneous logic architectures can offer designers the cost and power efficiency that they seek while managing development costs and keeping their time-to-market edge. Utilizing embedded application-specific elements that are configurable, these architectures can provide reduced development costs over ASICs and FPGAs by eliminating the timing closure problem of a generic logic fabric and providing ASIC-like performance.

Furthermore, bandwidth bottlenecks can be eliminated by utilizing an interconnect structure that is designed to support the datapath topologies common to a given application. Imagine a densely populated city such as Tokyo with only one choice of travel – surface streets. Yes, they are the most flexible, serving all destinations, but they are inefficient for traveling any significant distance, or for moving volumes of people. Fortunately for Tokyo, they have tailored resources, such as freeways and a train system, each with its own merits. Like Tokyo, new programmable logic architectures will offer density with flexibility at a local level, and high performance and efficiency for traveling from function to function.

Configurable Switch Array
One such architecture is the Configurable Switch Array (CSA) offered by Cswitch. The CSA has been designed to support the performance-demanding datapath functions of packet-based applications.


1. Configurable Switch Array (CSA) high-level architecture.
(Click this image to view a larger, more detailed version)

The cornerstone of this architecture is the interconnect structure. It is a two-level structure, with the fabric-level interconnect designed to offer maximum flexibility, and the upper level, known as the dataCrossconnect (DCC) network, offering up to 2.56 Tbps of cross-sectional bandwidth through a synchronous mesh.

The logic fabric itself is heterogeneous, with an array of configurable embedded elements called Configurable Packet Engines that support header parsing of any protocol, fast look-ups, and fast polynomial calculations common to networking, such as CRC. These engines operate at up to 1 GHz.

Together with the DCC network, which operates at up to 2 GHz, the Configurable Switch Array can process 20 to 100 Gbps streams without undue area and power consumption. Because the speed-critical blocks are embedded, achieving performance is as simple as managing latency in the datapath. Cswitch's Andara development tool suite provides an HDL-based design flow utilizing instantiation and inference technology provided by Magma Design Automation.

Conclusion
As much as the incumbent programmable logic vendors would like to think so, innovation is not defined as riding Moore's Law. While advancement in transistor density provides an increasingly capable piece of silicon, it is up to fabless programmable logic vendors to take advantage of this manufacturing capability and to create products that truly help designers achieve their goals.

In many cases, today's FPGAs are dramatically underserving their markets. Think about this: the FPGA device that is being designed into an LTE cellular baseband processing card is the same device that is being designed into a 10G Layer 2 Ethernet switch, and it is the exact same device that is being designed into a plasma display.

Yes, this breadth helps programmable logic companies stay financially efficient. However, this "lowest common denominator" approach is making FPGAs harder to use and less cost efficient for any given application, and the vendors are ultimately passing this burden on to their customers.

The growth of ASIC cost of ownership has been well documented by industry journalists, but today's FPGAs are facing a similarly escalating cost of ownership. FPGAs don't have the mask and manufacturing costs associated with ASICs, but they do have increasing design capture and verification costs.

Yes, you can verify at system speed in hardware, exposing your design to billions of real vectors instead of thousands of software vectors. But consider this: how long will it take you to close timing on a 20Gbps traffic manager spread across multiple FPGAs? How many times will you have to re-architect your design to achieve the throughput needed? Will you ignore the hundreds of paths that miss by 1.5 ns simply because there are too many of them? Each of these common FPGA challenges indeed costs you money, much like ASIC verification. The lack of FPGA architectural innovation is driving up the development costs associated with design capture and verification.

What does this mean? With engineering costs rising, and time to market pressures as strong as they have ever been, the time is right for an innovative programmable logic approach to emerge that will better meet the logic needs of specific applications, just as PALs, EPLDs, and CPLDs did in the past. The programmable logic market will again become fragmented with specialized architectures, but this time it will be manifested by more embedded IP. The time is right for smaller companies such as Cswitch to fill the void and bring customer focus and innovation back to the programmable logic industry.

Notes/References

  1. "How do we use the data from I/Os running at 8.5 Gbps?" by Clive Maxfield, Programmable Logic DesignLine, May 23 2008. (www.plddesignline.com).
  2. Stratix IV Device Handbook Vol 2 by Altera, Nov 2008, page 131.
  3. AN516: 10Gbps Ethernet Reference Design by Altera, Nov 2008, page 5.
  4. Conversion factor from Altera Stratix IV GX ALMs to logic elements, which is defined as a 4LUT and a register. (www.altera.com).
  5. 40G/100G Ethernet IP Core data sheet by Sarance, version 1.1, Aug 5 2008, page 2.
  6. Conversion factor from Xilinx Virtex5 LUTs to logic cells or elements (www.xilinx.com)

Jack Ogawa is the Vice President of Marketing at Cswitch (www.cswitch.com), a fabless semiconductor startup.

Prior to Cswitch, Jack was with Altera Corporation for over 15 years serving key leadership roles in Applications Engineering, Product Marketing, Product Planning, Strategic Marketing, Business Development, and Sales.

Jack's experience includes four years as Senior Director of Marketing and Acting Country Manager for Altera in Tokyo, Japan. Jack, who can be contacted at

jogawa@cswitch.com, holds a BSEE degree from the University of California at Davis.

====================

출처: http://www.pldesignline.com/212902857