2009년 8월 5일 수요일

Embedded FPGAs

December 29, 2008

Check here for the lastest How-To technical articles on Embedded FPGAs, PLDs and other configurable devices as compiled by our Embedded.com sister site.

By Bernard Cole

For your convenience, collected here the most recent How-To and Technical Insight articles on Embedded.com on field programmable gate arrays (FPGAs), programmable logic devices (PLDs), and other  reconfigurable devices and systems. We are constantly updating this list, so check back occasionally to see what's new:

2008

Achieve higher accuracy using mixed signal FPGA calibration
Mixed signal FPGAs can be a key element in an effective in-circuit analog signal channel calibration technique.
Video encoding with low cost FPGAs for multichannel H.264 surveillance
Build a high performance  quad-channel H.264 encoder using low cost, low power FPGAs to deliver higher resolutions and frame rates.
Solving FPGA I/O pin assignment challenges
A step by step guide to helping you plan pin outs on complex FPGAs
Modular arithmetic - a devisive issue
Good techniques for FPGA implementations of the mod operation have not been widely known. Here's the scoop on the details.
How to improve FPGA based ASIC prototyping with SystemVerilog
FPGA prototyping is not without its difficulties, with one major obstacle being the connection of all the logic blocks both witin an FPGA and across multiple FPGA devices.
Replacing obsolete video game circuits with Xilinx CPLDs
The author repaces a defective part in a 1980s game system to show how to replace a range of parts that vendors are no longer producing.
How to defend agains clonng of your FPGA designs
Having your designed cloned by a competitor isn't fun. Here's how to foil such copying.
Product How-To: Building a configurable embedded processor
By combining Xilinx FPGAs, Impulse's EDA tools and a bit of inginuity, embedded designers can extend the instruction set of the processors running in the FPGAs to add their own fuctions to a design.
Bridging options enable FPGA based configurable computing
As PCI Express continues to invade embedded systems, the history of legacy PCI is repeating itself.
Use FPGAs to improve wireless subsystem performance
By off loading operations that require high speed parallel processing onto FPGAs, wireless system performance in such operatins as FIR filtering, FFTs, digital down and up conversion, and FEC blocks can be improved.
Microcontroller design in FPGAs
The union of MCU intellectual property cores with FPGAs provides far more flexible hardware platforms than traditional MCU ASSPs.
Product How-To: Analyze and reduce FPGA power using Libero IDE
Meeting lowpower FPGA design specs requires automatic power reduction capabilities coupled with sophisticated power analysis features.
How to interface FPGAs to MCUs
Neither microcontrollers or FPGAs were developed to communicate with each other efficiently, so interfacing the two can be a challenge.
Using programmable logic for efficient and effective DSP design.
With an FPGA front end that allows access to the latest I/O technology, as well as IP blocks for the latest standards, designers have the flexibility to choose the right interfaces without making changes in the DSP software.
Product How-To: Simplify power design development and evaluation for FPGA based systems
Topics convered: validation of power supply voltage at the FPGA,  real time monitoring of Vccint power consumption and realistic power estimates using accurate on-die teperture measurements.
Overcome the management complexity of FPGA/PCB pin synchronization
Given a unified electronics design tools, it is possible to overcome the increasing complexity of developing designs based on FPGAs and harness their benefits.
How to select CPLDs for handheld apps
CPLDs offer designers with a low cost system solution with significant advantages over traditional designs based on ASICs and ASSPs.t
Timing driven Simulink FPGA synthesis
The latests Simulink block sets take in top level system timing constraints to achieve a level of performance previously only possible with hand coded HDL.
Product How-To: Building a simple power supply for an FPGA - Part 1
Product How-To: Building a simple power supply for an FPGA - Part 2
DC/DC building block systems such as Linear Technology's micromodules are a good solution for simple and compact low voltage, high current power supply apps..
To accelerate or not to accelerate
Many of the implementations we use today are the way they are because of 40 years of von Neuman programming and thinking on non-configurable processor architectures.
How to perform meaningful benchmarks on FPGAs from different vendors
A suite of  unbiased and meaningful benchmarks that truly compare the hardware architectures and software design tools from FPGA vendors.
How to design portable handsets using CPLDs
The different ways in which complex PLDs can be used to address the shortcomings associated with hardset platforms.
Making design choices between DSPs and FPGAs
Systems designers face key questions during the architecture phase of their project. One of those questions: Whether to use an FPGA or a DSP?
DSP or FPGA? How to choose the right device.
Design guidelines you need to choose betwen an DSP or an FPGA
Product How-To: C-based processor design: Part 2: Datapath customization
How Cebatech's C2R C-to-RTL compiler was used to customize and validate the data path of a G723.2/G729.A speech coding accelerator.
Product How-To: C-based processor design: Part 1 - SIMD architecture
How Cebatech's C2R C-to-RTL compiler was used to implement a speech coding accelerator, which attaches to a scalar processor core, features a configurable mic4cro-architecture and instruction set architecture.
Product How-To: Multimode sensor processing with Ambrics's massively parallel processor arrays
A multimode sensor processor that is upwardly scalable, reconfigurable and programmable, wile reducing development costs and time to market.
Software defined silicon: Why can't hardware be more like soft ware?
It can, even though the next generation multicore designs mix programmable logic, CPU blocks and dedicated logic. But it requires a new approach to architectural design.
Getting FPG and PCB designers to agree on common I/O
Getting the pin assignment portion of the design process under control is essential in order to realize the benefits of FPGAs.
How to implement a HD video design framework for FPGAs
Exploring a video design framework that can allow for a faster design cycle: component can be used collectively or you can pick and choose as required.
Product How-To: Speed auto/industrial display design with Xylon cores, Xilinx FPGAs
A compact mutlimedia display development platform for automotive and industrial apps combines Xilinx FPGAs and Xylon IP cores to build a rear seat entertainment system using inexpensive twisted pair wiring, navgiation and human interfaces or process monitoring and control.
C to hardware tools add software-centricity to FPGAs in embedded systems
Here are some tools that can extend traditional methods of  programming FPGAs using HDLs or schmatics.
FPGA prototyping grows up
ASIC, ASSP and SoC development will always be a risky business. Here's a look at some alternatives.
Programming your own microcontroller
When this article was written 20 years ago, the FPGA was only five years old and not yet widely use. Here's an early how-to on PLD design that stands the test of time.
Using FPGAs to avoid microprocessor obselescence
The options available to designers facing microprocessor and microcontroller obsscelesence using FPGAs.
Product How-to: FPGA video programming with Agility's graphical environment
A review of Agility's Pixelstream graphical programming tools for image and video algorithms and an examination of the the design flow and how it works with Agiliity's C-based design environment.
Comparing IP integration approaches for FPGA imprementation
Avoiding the fixed routing and timetable of a standard bus can open up new avenues for design and restore a bit of glamour and creativity to a mundane project.
DSPs vs FPGAs for multiprocessing
Here's what available for high performance multiprocessor systems and how you can make the best choice between DSPs, FPGAs, or a hybrid mixture.
FPGA-based prototyping - Productivity to burn
Highlights of recent advanced in FPGA design that can help you set up, implement, and verify your FPGA-bsed ASIC prototype faster.
How to achieve timing closure in high-end FPGAs.
Using graph-bassed physical synthesis to achieve timing capacity in high density, high performance FPGAs.
FPGAs tackle MCU tasks -Part 2: Flexible CPUs
FPGAs offer flexibility to handle the explosion of electronic content in today's automotive systems.
FPGAs tackle MCU tasks -Part 1: App grouth strains ASIC architectures
FPGAs offer flexibility to handle the explosion of electronic content in today's automotive systems
Design recipes for FPGAs - A simple VGA interface
Here is a tutorial from a recently published book that provides a desktop reference for engineers who use FPGAs as their hardware platform.
The art of FPGA construction
Working with FPGAs isn't intimidating when you know the basic techniques and options

2007

Quantify FPGA system simultaneous switching noise in chip/package/PCB designs
This article offers an overview of the system level simultaneous swiching noise issues (SSN) in FPGA designs as the output buffer noise margines of I/O interfaces have become smaller.
Using off-the-shelf technology & FPGAs to replace custom hardware: Part 2.
The second part in a series, this article examines the issues designers need to keep in mind when creating custom hardware in an industrial setting.
Using off-the-shelf technology & FPGAs to replace custom hardware: Part 1
This article focusses on chosing the right hardware platform for industrial and control applications and some of the challenges engineers face.
Applying FPGAs in system-critical automotive electronics
FPGA technology is expanding in under-the-hood power train and safety systems applications.
Designing DDR3 SDRAM controllers with today's FPGAs
This article outlines the differences betweeen DDR3 and DDR2 SDRAM architectures and reviews them in the context of an FPGA-based reference design tested in hardware at 800 Mbps.
Virtually every ASIC ends up an FPGA
Because up to 90% of all ASICs are either partially or completely prototyped before tape-out, the question is no longer whether to implement an IC design as either an ASIC or FPGA; now, most design teams must do both.
Convert an FPGA to a gate array at project start.
Selecting a gate array early in the design cycle can bring with it many advantages.
How to implement double precision floating-point on FPGAs
The real results of a double-precision matrix multiply core that can easily be extended to a full DGEMM benchmark are demonstrated.
How to enhance signal integrity in high density FPGA based designs
The authors discuss the anomolies that can be introduced between the connecting wires on a high density FPGA based board design and how to prevent them.
Cost-effective two-dimensional rank-order filters on FPGAs
Here's how to use FPGAs as co-, pre- and post-procssing hardware acceleration solutions for video and imaging.
Top-down DSP design for FPGAs
High level C++ synthesis in combination with FPGAs is an attractive soluition for achieving a rapid path from C++ to RTL running in hardware.
How to design an FPGA from scratch
When veteran ASIC designer Sven Andersson determined to learn how to work with FPGAs, he decided to create this step by step tutorial to teach others.
Accelerate system performance with hybrid multiprocessing and FPGAs
Multiprocessing is becoming a key differentiator for FPGA-based processor architectures.
FPGA-based hardware acceleration of C/C++ based apps: Part 3
The team at Impulse Accelerated Technologies explains how state of the art C to hardware tools simplify the development of FPGA-accelerated algorithms.
Embedded developers should embrace FPGAs
The use of FPGA devices is a driving factor in the success of using software to reduce hardware complexity. The technique also provides an open ended flexibility that comes from transferring the controlling elements of a design into the programmable space.
An FPGA design flow for video imaging applications
This tutorial examines some of the challenges when implementing video applications in FPGAs and details how certain tools can be used to alleviate key design challenges.
Implementing floating-point DSP on FPGAs
Here's how to use PicoBlaze processors for high performance, power-efficient floating point DSP.
Using customizable MCUs to bridge the gap between dedicated SoC ASSPs, ASICs and FPGAs: Part 2
In the second in a series of articles the author describes the details of a metal programmable cell fabric and how it can be used to customize an ARM-based SoC design to your application needs.
Using customizable MCUs to bridge the gap between dedicated SoC ASSPs, ASICs and FPGAs: Part 1
In the first in a series of articles, the case is made for metal programmable cell fabrics combining customizable logic with a standard processor core as alternatives to ASICs and FPGAs.
Software intensive ASICS/ASSPs demand prototyping solutions
FPGA-based prototypes are an ideal software development platform for several reasons, including increased simulation speed, lower development costs and improved quality of design.
How to simplify hardware prototyping with EXP modules
The new EXP specification defines a versatile expansion interface to FPGA baseboards that offers designers flexibility, performance and ease of use during harware development.
Put a configurable 32-bit processor in your FPGA
Employing a configurable processor in your FPGA gives you lots of options not available with a fixed microprocessor, particularly the ability to adapt to a wide variety of application requirements.
Designing PC boards with speedy FPGAs
The die, chip package and board form a closely coupled system where careful PCB design is needed to fully realize the capabilities of an FPGA.
A tutorial on tools, techniques and methodologies to improve FPGA designer productivity
Providing the ability to quickly understand the timimg state of a design is crucial to the effectiveness of any FPGA design environment.
Expanding applications for low cost FPGAs
The need for, and approaches to, providing enhanced low cost FPGA capability in the areas of SERDES, DSP, high speed source synchronous I/O, memory capacity and device configuration.
Putting  FPGAs to work in  software radio systems, Part 3
Part 3 in this series provides an overview of some software radio systems that can be designed with today's high performance FPGAs
Putting  FPGAs to work in  software radio systems, Part 2
Part 2 in this series traces the use of FPGA products through several application examples, discussing how to take advantage of different design options
Putting  FPGAs to work in  software radio systems, Part 1
This multipart report discusses the strengths of FPGAs and how they can optimally used in software radio systems. Part one focess on the basic elements of a software radio receiver system.
Open source soft microprocessors promise a new era in embedded design
While open source licensing of software microprocessors allows the design of easily modifiable FPGAS and greater visibility into CPU architectures, it is important to understand the implications of associated licensing models.
How to map the H.264/AVC video standard onto an FPGA fabric
A brief tutorial on the code efficiency enhancements to the H.264/AVC standard, the technical challenges they present and how to map the spec onto an FPGA fabric.
Use ESL synthesis to replace dedicated DSPS with FPGAs
If your application isn't the most compute intensive, you may find that using an FPGA as a replacement is a good idea.
How to improve design level security with low cost non-volatile FPGAs
Design level security features in 90 nm nonvolatile FPGAs address the issues  of overbuilding, cloning and reverse engineering
How to design an FPGA architecture tailored for efficiency and performance
How does an FPGA company decide on a particular architecture. The author walks us through the process used to develop Altera's Adaptive Logic Module.
Using FPGAs in an IEEE 1588 Precision Time control design
The 1588 standard  precision time protocol is being used in industrial automation networks base on Ethernet, providing accurate timing synchronization for distributed control nodes.
Achieving Timing Convergence in your FPGA-based designs
Generate FPGA accelerators from C
Reducing FPGA Compile Time Using Parallel Compilation Methodology
Designing custom embedded multicore processors
How to design 65nm FPGA DDR2 memory interfaces for signal integrity
How to Choose the Right FPGA
How to maximize FPGA performance

2006

Minimizing FPGA power consumption
How to implement high-security in low-cost FPGAs
Control a three-phase full-wave rectifier with an FPGA
Accelerating Architecture Exploration for FPGA Selection and System Design
Making FPGAs work for embedded developers - Part 2
How to tackle serial backplane challenges with high-performance FPGAs
How to utilize advanced FPGA features without getting locked into an architecture
Making FPGAs work for embedded developers: Part 1
Use FPGAs to design PCI Express endpoint solutions
Implementing matrix inversions in fixed-point hardware
Building SCA-compliant Software-defined Radios
Tech Tutorial: Use CPLDs to manage average power consumption
FPGA Architectures from 'A' to 'Z' : Part 2
How to get the best cost savings when implementing an FPGA-to-ASIC conversion
Encoding JPEG2000 using both DSP and FPGA
FPGA Architectures from 'A' to 'Z' : Part 1
How to accelerate algorithms by automatically generating FPGA coprocessors
Unite algorithm and hardware design flows
How to use the Trace Port on PowerPC 405 cores
Video and image processing design using FPGAs
Fast insight into MicroBlaze-based FPGA designs with the MicroBlaze Trace Core (MTC)
How to speed FPGA debug with measurement cores and a mixed-signal oscilloscope
Core-assisted approach accelerates debug of FPGA DDR II interfaces
Implementing PCI Express Designs using FPGAs
How to create fixed- and floating-point IIR filters for FPGAs
Reduce simultaneous switching output noise with a stand-alone SerDes
A low-cost solution for FPGA-based PCI Express implementation
How to lower the cost of PCI Express adoption by using FPGAs
How to build reliable FPGA memory interface controllers without writing your own RTL code!
How to combine FPGAs and DSPs to get the best base station performance
Platform FPGA design for high-performance DSPs
Designing with an embedded soft-core processor
C-Language techniques for FPGA acceleration of embedded software
FPGAs for prototyping - ASICs for production
Back to the Basics: All about FPGAs
ASIC to FPGA migration: myth or reality?
Using softcore-based FPGAs to balance hardware/software needs in a multicore design
Increasing visibility in FPGA prototypes and emulators
FPGA-based platform speeds in-vehicle testing
How to achieve fast timing closure on FPGA designs
Optimizing DSP functions in advanced FPGA architectures

2005

A practical approach to reusing HDL code in FPGA designs
Simplify your multiprocessor-based network design with multicore FPGAs
FPGA Soft Processor Design Considerations
Architecting the right FPGA solution for your DSP design
Implementing DSP Functions Within FPGAs
How to Integrate Flash Device Programming and Reduce Costs
Programmable System Chips: An alternative to MCU-based designs?
Using alorithmic synthesis tools to simplify DSP design on FPGAs
Back to the basics: Programmable Systems on a Chip
How to reduce costs by integrating PCI interface functions into CPLDs
Performing rapid and safe evaluations at the architectural level
Using FPGA starter kits to jump start an embedded system design
Embedding FPGAs in DSP-driven Software Defined Radio applications
FPGAs aid in high-end memory interface design
Addressing wireless mesh networking challenges
How to create beam-forming smart antennas using FPGAS

For more information on designing with field programmable gate arrays, field programmable logic and other reconfigurable devices, go to the Programmable Logic DesignLine Web Site.

We will regularly be reporting on all aspects of this important trend on Embedded.com in the form of news, features, product stories, columns, commentary, in-depth technical and how-to articles.

We welcome your participation and would like to hear from you. If you are a developer, let us know what problems you are facing and the solutions you are considering. If you are a hardware or software vendor, we want to know what you have got in terms of building blocks and tools, how they work and how to use them.

We also want to know what kind of information you need and what kind of stories you need to read as well as the kind of stories and contributions you can write for the site.

Call me at 602-288-7257 or email me at bccole@acm.org

==========

출처: http://www.pldesignline.com/200001906

댓글 없음: